CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 24进制计数器

搜索资源列表

  1. counter24

    0下载:
  2. 通过硬件描述语言编程实现了计数器,可以实现二十四进制的数-Through hardware descr iption language programming to achieve the counter, can achieve a few 24 M
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:146881
    • 提供者:hulijing
  1. q

    0下载:
  2. 数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:6602
    • 提供者:李苏铭
  1. clock1

    0下载:
  2. 24进制计数器,可循环计数,用于24小时计数!-24 binary counter, counting can be recycled for 24 hours counting!
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:559071
    • 提供者:aa
  1. jishuqi

    0下载:
  2. EDA实现计数器功能十六进制和二十四进制-EDA counter function hex and 24 quaternary
  3. 所属分类:Other systems

    • 发布日期:2017-03-21
    • 文件大小:501619
    • 提供者:王丹
  1. Digital-clock-design

    1下载:
  2. 数字钟设计 用VHDL实现一个50MHZ到1HZ的分频器,利用Quartus II进行文本编辑输入和仿真硬件测试。实现一个60进制和24进制的计数器。测试成功。-Digital clock design using VHDL a 50MHZ to 1HZ divider using Quartus II simulation for text input and editing hardware test. Achieve a 60 hex and 24 hex counter. Test wa
  3. 所属分类:Other systems

    • 发布日期:2015-02-03
    • 文件大小:240640
    • 提供者:鲁可丹
搜珍网 www.dssz.com