CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - FREQUENCY DIVIDER

搜索资源列表

  1. EWB

    1下载:
  2. EWB做的多功能数字钟 由振荡器输出稳定的高频脉冲信号作为时间基准,经分频器输出标准的秒脉冲,秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器按“12翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒, 可发挥部分:使闹钟具有可整点报时与定时闹钟的功能。 -EWB done by the multi-function digital clock oscillator output stable high frequency
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:128811
    • 提供者:zero
  1. VHDLEXAMPLEppt

    1下载:
  2. 介绍8位加法器、分频电路、数字秒表的PPT,带源码,解释详细,一步一步学习,是学习VHDL的好-introduced eight Adder, the frequency divider circuit, digital stopwatch, the PPT, with the source code, explained in detail, step by step, learning, VHDL is a good learning Eastern
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:527607
    • 提供者:刘一
  1. DVF

    0下载:
  2. 数控分频器的设计数控分频器 端口定义: CLK:时钟输入 D[7..0]:预置数据 Fout:分频输出 说明: D[7..0]作为8位加1计数器的初值,初值越大,分频输出频率越高,反之越低, -NC NC divider divider port the definition of design: CLK: Clock input D [7 .. 0]: preset data Fout: frequency output that: D [7 .. 0] as
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:603
    • 提供者:张娟
  1. mod_m_counter

    0下载:
  2. frequency divider, it generates clock waveform from another clock divide by any divider
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-10
    • 文件大小:818
    • 提供者:jankowski26
  1. Freq_Divider

    0下载:
  2. frequency divider using verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:719
    • 提供者:hazwaj
  1. 3FSK.vhd

    0下载:
  2. 利用MAXPLUS作为仿真工具,用VHDL语言编程,采用频率键控法实现3FSK调制。对输入的系统时钟分别进行2分频,4分频和8分频得到这3种频率。通过对数字基带信号进行双二进制编码得到3个电平值,把它们作为三选一开关,来分别选择不同的频率值、选择不同的信号,从而实现3FSK调制。-As a simulation tool used MAXPLUS using VHDL language programming, using frequency shift keying modulation me
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:3669
    • 提供者:雷月
  1. dcm25test

    0下载:
  2. 采用建立IP核的办法,DCM实现25M分频-The establishment of IP nuclear approach, DCM 25M frequency divider
  3. 所属分类:Other systems

    • 发布日期:2017-05-02
    • 文件大小:959416
    • 提供者:陆河辉
  1. op_div_5

    0下载:
  2. VHDL写的奇数次分频电路,占空比为50 .-VHDL to write odd frequency divider circuit, the duty cycle is 50 .
  3. 所属分类:Other systems

    • 发布日期:2017-11-18
    • 文件大小:658
    • 提供者:齐飞
  1. frequency-divider-graphic-design

    0下载:
  2. 数字系统EDA 多级分频器图形设计 熟悉和掌握MAX+PlusⅡ的编译、仿真操作。-The multi-level divider graphic design of digital systems EDA familiar with and master MAX+Plus Ⅱ compilation, simulation operation.
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:256332
    • 提供者:王海阔
  1. FPGAfrequency-divider

    0下载:
  2. 一种基于FPGA的分频器实现,讲的很详细,很实用,希望能帮助您。-A kind of the frequency divider based on FPGA realization, speak very detailed, very practical, the hope can help you.
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:61500
    • 提供者:陈吧
  1. 1freqdiv

    0下载:
  2. 使用VHDL代码高速而有效的实现了频率的分频,整个工程全部上传,bit文件可以直接下载-VHDL code fast and effective frequency divider, the whole project upload all bit file can be downloaded directly
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:1683
    • 提供者:kindheart
  1. fenpindianlu

    0下载:
  2. 分频电路包括2MHZ5MHZ10MHZ50MHZ100MHZ-The frequency divider circuit comprises 2MHZ5MHZ10MHZ50MHZ100MHZ
  3. 所属分类:Other systems

    • 发布日期:2017-11-29
    • 文件大小:1116780
    • 提供者:彭嘉烨
  1. vc

    0下载:
  2. 利用C语言设计出一个具有16分频、8分频、4分频和2分频功能的分频器-Using C language to design a divide with 16, 8, 4 and 2 frequency divider divider function
  3. 所属分类:CSharp

    • 发布日期:2017-04-26
    • 文件大小:13936
    • 提供者:liuxiaohong
  1. DivFrec

    0下载:
  2. Employ IP cores in VHDL to describe some functions Module digital clock manager , in this case to create a frequency divider
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1603
    • 提供者:Mario
  1. frequency-divider

    0下载:
  2. 利用FPGA实现分频器功能并完成LED数码管静态和动态显示-Using FPGA to achieve crossover features and complete LED digital control static and dynamic display
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1512
    • 提供者:李程祥
  1. fenpin

    0下载:
  2. 通用整数分频器,可以分频占空比为1:1,也可以为任意占空比-General integer frequency divider, can divide frequency and duty ratio of 1:1, also can be for any duty ratio
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1035
    • 提供者:春春
  1. divider8

    0下载:
  2. 使用硬件描述语言设计8分频器,并将结果通过七段数码管显示-The hardware descr iption language is used to design the 8-frequency divider, and the result is displayed by 7-segment LED
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1500118
    • 提供者:王锋
  1. AD9512_SPI_Config

    0下载:
  2. 用户可以通过各分频器改变一路时钟输出相对于其它时钟输出的相位,这种相位选择功能可用于时序粗调。(The user can change the clock all the way through the frequency divider output relative to other clock output phase, the phase selection function can be used for timing coarse adjustment.)
  3. 所属分类:其他

  1. guan 27

    0下载:
  2. 分频器分频为2Hz后,使计数时间变为0.5秒一个,将此时的频率传给计数器,计数器计数的变化时间就变为0.5秒一变然后再用数码管显示出数字的变化,即可得到一个从0~9变化的计时器。 文件名为随便起的项目名称,使用时如果更改需要和代码中的实体名等一起更改(Frequency divider for 2Hz, the counting time is 0.5 seconds a, the frequency to change the time counter counter becomes 0.
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:193536
    • 提供者:关关关
  1. ise

    0下载:
  2. 在ise软件上,用VHDL语言,设计的数字跑表,可以两位计数,含分频器,计数器(In the ISE software, using VHDL language digital stopwatch design, can two counts, including frequency divider, counter)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:762880
    • 提供者:uestczzz
« 12 »
搜珍网 www.dssz.com