CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - fifo 16

搜索资源列表

  1. shiyan3niu

    1下载:
  2. 1.利用FLEX10KE系列(EPM10K100EQC240-1X)的CLOCKBOOST (symbol:CLKLOCK),设计一个2倍频器,再将该倍频器2分频后输出。 对其进行时序仿真。 2.设计一个数据宽度8bit,深度是16的 同步FIFO(读写用同一时钟),具有EMPTY、FULL输出标志。 要求FIFO的读写时钟频率为20MHz, 将1-16连续写入FIFO,写满后再将其读出来(读空为止)。 仿真上述逻辑的时序,将仿真
  3. 所属分类:Windows编程

    • 发布日期:2012-10-25
    • 文件大小:53721
    • 提供者:李侠
  1. ddr_usb

    0下载:
  2. 将256位数据宽度 通过两级FIFO 转成16位 使用XILINX的ISE10.1完成设计 此为工程文件 有仿真结果-The 256-bit data width conversion FIFO through the two 16-bit using the XILINX s ISE10.1 to complete the design documents for the works in this simulation results
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:3466808
    • 提供者:jiangyuhang
  1. Pbbuulksrca

    0下载:
  2. 毕业课题部分程序源码:CY7C68013 Bulk IN 68013工作在AUTO INN模式,16位总线 SLAVE FIFO.MASTER是 ADI BF533 可直接使用。 -Graduation Project part of the program source code: CY7C68013 Bulk IN 68013 work in the AUTO INN mode the 16 bus SLAVE FIFO.MASTER is ADI BF533 can be used d
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-20
    • 文件大小:55455
    • 提供者:overflow
  1. fifo

    3下载:
  2. 同步FIFO设计一个同步FIFO,该FIFO深度为16,每个存储单元的宽度为8位,要求产生FIFO为空、满、半满、溢出标志。请采用可综合的代码风格进行编程。-Synchronous FIFO design a synchronous FIFO, the FIFO depth is 16, the width of each memory cell is 8, required to generate the FIFO is empty, full, half full, the overflow
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:541
    • 提供者:王谦
  1. SDRAM_LCM_PROJECT

    0下载:
  2. sdram源代码,输入16数据位数据到sdram,再传送到fifo,通过uart端口发送出去。-sdram source code, data input 16-bit data to sdram, then transmitted to the fifo, sent through uart port.
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1444168
    • 提供者:许昌
  1. fifo_verilog

    0下载:
  2. 16位FIFO的硬件电路,使用verilog实现。文件内含组合逻辑和寄存逻辑两种方法的实现,以及对应的testbench测试代码-16 FIFO hardware circuits using verilog implementation. File contains a combination of logic and storage logic to achieve the two methods, and the corresponding testbench test code
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:33429
    • 提供者:chenhaoc
搜珍网 www.dssz.com