CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - mealy

搜索资源列表

  1. MEALY

    0下载:
  2. MEALY状态机的输出是现态和输入的函数.在SRAM控制器状态机中,写有效WE不仅和WRITE状态有关,还和总线命令WRITE_MASK有关.这样,输出WE信号按设计要求表示为现态WRITE和现态输入WRITE_MASK的函数.本程序基于VHDL,开发环境为MAXPLUS2
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:29875
    • 提供者:weixiaoyu
  1. seqdetector1001.v.tar

    0下载:
  2. 1001 sequence detector in verilog code for mealy state machine
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:557
    • 提供者:balu
  1. mealy

    0下载:
  2. 利用mealy状态机编写的一个8状态的数据检测机,如果输入为0101或者1001则输出为1,否则为0-Prepared using mealy state machine data from an 8-state detector, if the input for the 0101 or 1001 output is 1, otherwise 0
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:12613
    • 提供者:luxin
  1. moore

    0下载:
  2. this vhdl format for mealy model. we can design mealy model we can get that code into kit prototype board thru it.-this is vhdl format for mealy model. we can design mealy model we can get that code into kit prototype board thru it.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:3070
    • 提供者:HARISH MADUPU
  1. moore101

    0下载:
  2. 在计算理论中,摩尔型有限状态机(英语:Moore machine)是输出只由当前状态自己(不直接依赖于输入)确定的有限状态自动机。摩尔型有限状态机的状态图对每个状态包含一个输出信号,相对于米利型有限状态机,它映射机器中的“转移”到输出。moore101-In the theory of computation, a Moore machine is a finite-state machine whose output values are determined solely by its cu
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:534
    • 提供者:杨宇
  1. soda_machine_mealyamoore

    0下载:
  2. soda_machine的一个有限状态机,用verilog描述,分别有moore和mealy,还提供了testbench.-soda_machine of a finite state machine, with verilog descr iption, respectively, moore and mealy, also provides a testbench.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-14
    • 文件大小:2846
    • 提供者:LHX
搜珍网 www.dssz.com