CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 交通灯控制器

搜索资源列表

  1. digitalsystemDesign

    0下载:
  2. 第7章数字系统设计实例 7.1 半整数分频器的设计 7.2 音乐发生器 7.3 2FSK/2PSK信号产生器 7.4 实用多功能电子表 7.5 交通灯控制器 7.6 数字频率计-Chapter 7 Digital System Design Example 7.1-integer dividers designed Music Generator 7.2 7.3 2F SK/2PSK Signal Generator 7.4 Table practical multi-f
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:446705
    • 提供者:李唐
  1. nclight

    0下载:
  2. 利用硬件描述语言VHDL设计交通灯电路,设计一个十字路口交通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。-use VHDL design of traffic lights at the circuit, the design of traffic lights at a crossroads controller East and West, North-South direction of a red light, yellow light, green li
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:空气
  1. traffic_control

    0下载:
  2. 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行-design a crossroads for the traffic signal controller is a group in green, yellow and red lights to direct traffic. green,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2534
    • 提供者:那锋
  1. IIC

    0下载:
  2. 一个以前开发的实际应用的交通灯控制器程序,使用sst89e58单片机,供参考。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:133947
    • 提供者:李可辉
  1. verilog_shili

    0下载:
  2. 计数器 锁存器 12位寄存器 带load,clr等功能的寄存器 双向脚(clocked bidirectional pin) 一个简单的状态机 一个同步状态机 用状态机设计的交通灯控制器 数据接口 一个简单的UART 测试向量(Test Bench)举例: 加法器源程序 相应加法器的测试向量test bench)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11433
    • 提供者:李进来
  1. trafficwsdklk

    0下载:
  2. 1.设计目的 (1)设计交通灯控制器; (2)学习状态机的设计方法; (3)学习原理图、状态机等多种的设计方法进行混合设计; (4)熟练掌握器件设计输入、编译、仿真和编程的过程。 2.设计内容 位于十字路口的交通灯,在A方向和B方向各有红、黄、绿三盏灯,按所列顺序进行循环,交通灯循环顺序见表1所示。其中1表示灯亮,0表示灯灭。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:132023
    • 提供者:秦光
  1. jiaotongdeng

    0下载:
  2. 单片机交通灯控制器,适合入门的同学学习,欢迎大家下载学习
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:131535
    • 提供者:xingxin
  1. eda-vhdl-traficlightctrl

    0下载:
  2. 用VHDL语言描述和实现的一个交通灯控制器,该交通灯系统为一个十字路口交通管理信号灯,用于主干道与乡间公路的交叉路口,要求是优先保证主干道的畅通,因此平时处于“主干道绿灯,乡间道红灯”状态,只有在乡间公路有车辆要穿行主干道时才将交通灯切向“主干道红灯,乡间道绿灯”,一旦乡间公路无车辆通过路口,交通灯又回到“主绿,乡红”的状态。此外,主干道每次通行的时间不得短于1分钟,乡间公路每次通行时间不得长于20秒。而在两个状态交换过程中出现的“主黄,乡红”和“主红,乡黄”状态,持续时间都为4秒。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1132
    • 提供者:黄然
  1. jiaotong

    0下载:
  2. 交通灯控制器的VHDL设计,能控制十字路口的红绿灯转换,通过目标芯片EPF10KLC84-4验证
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:328361
    • 提供者:ellala
  1. traffic_control

    0下载:
  2. 软件开发环境:ISE 7.1i 仿真环境:ISE Simulator 1. 这个实例实现通过ISE Simulator工具实现一个具有两个方向共八个灯的交通灯控制器; 2. 工程在project文件夹中,双击traffic.ise文件打开工程; 3. 源文件在rtl文件夹中,traffic.v为设计文件,traffic_tb.tbw是仿真波形文件; 4. 打开工程后,在工程浏览器中选择traffic_tb.tbw,在Process View中双击“Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:249362
    • 提供者:李华
  1. VHDL100

    2下载:
  2. 包含了VHDL语言的100个例子,如交通灯控制器,空调系统有限状态自动机,FIR滤波器,五阶椭圆滤波器,闹钟系统的控制
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:320768
    • 提供者:ttang
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. CPLD

    0下载:
  2. 摘要:本文主要介绍以CPLD 芯片进行十字路口的交通灯的设计,用CPLD 作为交通灯控制器的主控芯片,采用VHDL 语言编写控制程序,利用CPLD的可重复编程和在动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。 关键词:CPLD;VHDL;交通灯控制器 中图分类号:TP39 Abstract :This paper introduces the electronic-traffic lamp, which is based on the VHDL and is co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:35244
    • 提供者:jimmy
  1. MicrocontrollerbasedcontrolotrafficlightsGraduatio

    0下载:
  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:146313
    • 提供者:买永乐
  1. jiaot

    0下载:
  2. 一个很简单的交通灯控制器,容易理解,在EP1C3T140C8上跑过-A very simple traffic light controller, easy to understand, in the EP1C3T140C8 ran
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:444012
    • 提供者:陈俊
  1. Verilog_traffic_control

    0下载:
  2. verilog,交通灯控制器,包括左/右拐,红、黄、绿灯。-verilog, traffic light controllers, including the left/right, red, yellow, green.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:985
    • 提供者:世海
  1. TrafficLightControler

    0下载:
  2. 采用状态机方法设计的交通灯控制器,添加了紧急状态,并且具有时间倒计时显示功能,VHDL源代码-a traffic light controller designed by State machine , a state of emergency is added, and a time countdown display, VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1189
    • 提供者:
  1. 基于单片机控制的交通灯

    0下载:
  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P
  3. 所属分类:单片机开发

  1. 程序

    0下载:
  2. 单片机交通灯的程序,本系统采用单片机AT80C51为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。(SCM traffic lights program, the system uses microcontroller AT80C51
  3. 所属分类:单片机开发

    • 发布日期:2018-01-01
    • 文件大小:17408
    • 提供者:jordan0316
  1. jiaotongdeng_fuza

    1下载:
  2. 本文基于FPGA技术的发展和Quartus II开发平台,实现路口交通灯控制器是一种解决方案。使用Verilog HDL硬件描述语言来描述语言程序的分频器模块,控制模块,数据解析模块,显示译码模块和段选位选模块,五个模块,并通过各个模块程序之间的端口合理连接和协调,成功设计出交通信号灯控制电路。在Quartus II环境下模拟,生成顶层文件下载后,在FPGA EP2C5Q208器件进行验证。(Based on the development of FPGA technology and the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-24
    • 文件大小:5611520
    • 提供者:威威谈谈
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com