CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 信号发生器 正弦波

搜索资源列表

  1. basic

    1下载:
  2. 一个简单的函数信号发生器,产生方波,锯齿波,三角波,正弦波等-A simple function signal generator to generate square wave, sawtooth, triangle wave, sine wave, etc.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1458
    • 提供者:王佳凡
  1. DDS

    0下载:
  2. 这是一个任意频率的正弦信号发生器,具有可改变输出信号频率,输出信号相位,任意转换输出信号类型(正弦、余弦、锯齿波、方波),屏幕可分别显示用户设定的信号频率与输出信号检测频率。-This is an arbitrary frequency sinusoidal signal generator, with can change the output signal frequency, the output signal phase, arbitrary conversion output sign
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1987774
    • 提供者:紫郢寒光
  1. dsp

    0下载:
  2. 基于TMS320C5402的信号发生器(产生正弦波)的程序源代码-Based on TMS320C5402 signal generator (generated sine wave) of the program source code
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:2331
    • 提供者:三江
  1. xinhaofashengqi

    0下载:
  2. 本设计以LPC2103为控制芯片,探索研究了低频信号发生器的原理和应用,设计输出频率及幅度可调,频率范围为1HZ~50KHZ的正弦波、方波、三角波、调幅波、调频波及其复合波信号,具有信号频率、波形、幅度变化容易,硬件简单可靠等特点的多功能信号源。-For the control of the LPC2103 chip design, explore and study the low frequency signal generator theory and application of des
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-30
    • 文件大小:562845
    • 提供者:tan
  1. dpj

    0下载:
  2. 基于单片机的信号发生器,正弦波、三角波、方波-hghjj kjghsjhj jhsdhjahj
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1893
    • 提供者:myok
  1. oj

    0下载:
  2. 单片机信号发生器,正弦波、方波、三角波-jlkhfka kjksajkj kjkjkl kkj
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1892
    • 提供者:myok
  1. DigitalSignalGenerator

    1下载:
  2. 多功能信号发生器,实现4种常见波形正弦波、三角波、锯齿波、方波的功能。并且输出信号的频率范围为100Hz~200KHz,输出频率可以调节;可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出,具有显示输出波形、频率的功能。-Digital Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-16
    • 文件大小:79065
    • 提供者:smile
  1. data_rom

    0下载:
  2. 正弦波信号发生器 正弦波信号发生器 -sine wave signal generator sine wave signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:609
    • 提供者:闫普
  1. xinhaofashengqi

    0下载:
  2. 信号发生器,正弦波,可调占空比的方波,锯齿波-Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:136211
    • 提供者:贾恒龙
  1. 51_mcu_DDS_signal_generator

    0下载:
  2. 该文件时基于单片机的DDS函数信号发生器,产生的波形为三种:三角波,正弦波,方波-The document function based on single chip DDS signal generator, waveform generated three types: triangle wave, sine wave, square wave
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:71976
    • 提供者:郑道宝
  1. SPI

    0下载:
  2. SPI串行输出控制DA变换,实现函数信号发生器的功能,有方波,正弦波,三角波三种可调,输出波形,频率都是可调的!-SPI serial output control DA conversion, to achieve the function signal generator functions, well-wave, sine wave, triangle wave of three adjustable, output waveform, frequency is adjustable!
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:137272
    • 提供者:许万清
  1. 11

    4下载:
  2. 一个基于LabVIEW的信号发生器的设计。 1、利用实验室提供的仪器设备、软件等,学生亲自设计虚拟信号发生器。 2、实现虚拟信号发生器的仿真显示。在虚拟信号发生器的图形显示窗上观察模拟输出信号的波形,要求观察正弦波、方波、三角波。 3、实现虚拟信号发生器的模拟信号输出。①频率的测量。在延时分别为1、100、200、300、400的条件下,输出正弦波、方波、三角波信号,用示波器观察输出波形,与仿真显示做比较。用频率计测量信号频率。②滤波。选择不同的截止频率对输出信号进行滤波。③失真度的测量。对滤波
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:324132
    • 提供者:汤双泽
  1. Proteus-signal-productor

    0下载:
  2. 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器,其频率范围可从几个微赫到几十兆赫,除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。-Signal generator, also known as source or oscillator, in the field of production practices and technologies widely used.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:473976
    • 提供者:
  1. Signal

    0下载:
  2. 基于51单片机的信号发生器,可输出三角波、方波、正弦波,且频率及幅值可调,暑假比赛时写的,原创--转载请说明-51 microcontroller based signal generator can output triangle wave, square wave, sine wave, and the frequency and amplitude adjustable, summer writing competition, original- reproduced please spe
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:25610
    • 提供者:霍允杰
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. l353_10v

    0下载:
  2. 用单电源供电lf353,产生多种信号,方波,三角波,正弦波(A single power supply is used to supply lf353, producing a variety of signals, square wave, triangular wave, sine wave)
  3. 所属分类:硬件设计

    • 发布日期:2018-04-30
    • 文件大小:7168
    • 提供者:sholic
  1. 代码

    0下载:
  2. AT89S51为控制MCU;采集按键信息进行不同的功能输出: 标准输出1K正弦波、1K方波、1K三角波、1K锯齿波; 在其基础上进行正弦波、方波、三角波、锯齿波频率可调; 信号频率控制值原理:由于DAC数据转换的时间是有芯片本身的特性所决定的故方案设计里通过控制转换频率 和转换的点数来达到对信号频率的控制(AT89S51 controls MCU, and key information is collected for different function ou
  3. 所属分类:单片机开发

  1. fasheng

    0下载:
  2. 简单信号发生器 51单片机 方波 正弦波 三角波(Simple signal generator 51 square wave sine wave sine wave)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-06
    • 文件大小:50176
    • 提供者:代人
  1. dds

    1下载:
  2. 通过按键控制产生任意频率的方波,正弦波,三角波,锯齿波(Fang Bo, sine wave, triangle wave and sawtooth wave at any frequency are generated by key control)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-23
    • 文件大小:4139008
    • 提供者:HUMBLE.
  1. DAC0832

    2下载:
  2. 信号发生器 可生成阶梯波 方波 三角波 正弦波 频率和幅度可调 1602显示 proteus+C51源码(Jie Tibo can generate triangular square wave sine wave Adjustable frequency and magnitude 1602 display Proteus+C51 source code)
  3. 所属分类:单片机开发

    • 发布日期:2020-09-24
    • 文件大小:116736
    • 提供者:xuzhengqing
« 1 2 34 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com