CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 十字路口

搜索资源列表

  1. AVR_project

    1下载:
  2. 采用ATmega88芯片作为主控平台,自己制作一个机器小车,完成一次完整的偱迹功能。硬件方面,需要电机、电池、ATmega88芯片、电机驱动芯片、灰度传感器、电容、电阻、LED灯、USB线和ISP下载线,把这些独立的器件焊接到一起,组成一个完整的小车系统。软件方面,要用到I/O口的使用,串口的使用、PWM的产生及要的偱迹算法。最终实现机器小车的自动循迹(包括闭环、直线、90度直角、45度角、丁字路口、十字路口)。- Uses the ATmega88 chip to take the maste
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:536796
    • 提供者:星海
  1. jiaotongdengkongzhiqi

    0下载:
  2. 设计一个用于十字路口的交通灯控制器。 基本要求: 东西和南北方向各有一组红、黄、绿灯用于指挥交通,红、黄、绿的持续时间分别为25s,5s,20s。 当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。 一组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。 -Design a controller for a traffic light intersection. Basic requirements: north-s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:140791
    • 提供者:唐晓明
  1. jiaotongdeng

    0下载:
  2. 交通灯控制,键盘显示,A/D转换等,实现的是十字路口的红绿黄交通指示灯的相互转换并有倒计时-Traffic light control, A/D conversion
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:73327
    • 提供者:Adah
  1. crossroadtrafficlights

    0下载:
  2. 十字路口交通管理器设计,甲、乙道路交替通行,每次通行时间30s 交替通行时刻,要求有5s的准备时间,即每次绿灯变红灯时,黄灯应先亮5s。而红灯变绿灯则不需要亮黄灯 -Intersection traffic management design, A and B alternate access road, each turn of access time access time of 30s, 5s required preparation time, which changes eac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3451
    • 提供者:张建林
  1. light

    0下载:
  2. 交通灯在十字路口,每条道路各有一组红、黄、绿灯和倒计时显示器,用以指挥车辆和行人有序的通行。其中,红灯亮表示该道路不可以通行;黄灯亮表示停车;绿灯亮表示可以通行;倒计时显示器是用来显示允许通行或禁止通行的时间。交通灯控制器就是用于自动控制十字路口的交通灯和计时器,指挥各种车辆和行人安全通过。-light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:29922
    • 提供者:五后
  1. jiaotongdeng

    0下载:
  2. 单片机课程设计,交通灯。为确保交通安全,在十字路口设有三种颜色的交通灯。-MCU Design, traffic lights. To ensure traffic safety, at the crossroads with traffic lights in three colors.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:10334
    • 提供者:施珊珊
  1. vhdl_TRAFFIC

    0下载:
  2. 十字路口 ,交通灯, VHDL , EDA,用MAX+PLUS2运行,-Intersections, traffic lights, VHDL, EDA, with the MAX+ PLUS2 run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4626
    • 提供者:dongni
  1. trafficlight

    0下载:
  2. 定时器控制交通灯,十字路口的交通灯,红灯30秒黄灯6秒绿灯24秒.-Timer control traffic lights, crossroads of traffic lights, red light green light for 6 seconds 30 seconds 24 seconds the yellow light.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:27238
    • 提供者:pig
  1. 8255

    0下载:
  2. 用8255A的A,B,C口模拟控制十字路口的交通灯,微机接口课程设计 -With 8255A of A, B, C port analog control intersection traffic lights, computer interface design curriculum
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:827935
    • 提供者:wyf
  1. tafficlinght

    0下载:
  2. 交通灯与急救车设计,十字路口交通信号灯控制系统的设计基本要求:(利用8088、8254、8255及其它器件实现交通信号灯控制)-[tafficlinght.rar]
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:6058
    • 提供者:857733132
  1. jiaotongxinhaodeng

    0下载:
  2. 一、设计要求:交通信号灯模拟控制系统设计利用单片机的定时器定时,令十字路口的红绿灯交替点亮和熄灭,并且用LED数码管显示时间。用8051做输出口,控制十二个发光二极管燃灭,模拟交通灯管理。 二、设计内容:因为本课程设计是交通灯的控制设计,所以要了解实际交通灯的变化情况和规律。假设一个十字路口为东西南北走向。初始状态0为东西红灯,南北红灯。然后转状态1东西红灯,南北绿灯通车,。过一段时间转状态2南北绿灯灭,黄灯亮5秒,东西仍然红灯。再转状态3,东西绿灯通车,南北红灯。过一段时间转状态4,
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:84496
    • 提供者:庆庆
  1. trafficlight

    0下载:
  2. 模拟交通十字路口车辆通行规律,设计一个红绿灯控制程序。具体要求如下:用字符画出车道,用字符的不同颜色显示红灯、绿灯、黄灯。根据交通规则控制红绿交替变化,时间自定。在十字路口中间显示倒计时的时间。根据红绿灯状态控制四个方向的车辆移动。-Simulated traffic intersection vehicle traffic laws, to design a traffic light control procedures. Specific requirements are as follo
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:4529
    • 提供者:Maria
  1. jiaotongdengsheji

    0下载:
  2. 实现交通灯的计时转换,以一个十字路口为例子进行讲解-Timing of traffic lights to achieve conversion to a crossroads as an example to explain
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:146506
    • 提供者:mianhuatang
  1. LAMP3

    0下载:
  2. 此程序主要实现在十字路口,东西南北交通灯实现倒计时的功能。-The procedure to achieve at the crossroads, East and West traffic lights to achieve the function of the countdown.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-10
    • 文件大小:1245
    • 提供者:ting
  1. traffic-led

    0下载:
  2. 位于十字路口的交通灯,在A方向和B方向各有红,黄,绿三盏灯,按下表所列顺序进行循环。其中1表示灯亮,0表示灯灭。实验中假设交通灯按照10s的节拍工作,各个灯亮的时间相等。-Traffic lights at crossroads, in the A direction and B direction of each of red, yellow, and green light to cycle the order listed in the table below. Where 1 is li
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:8974
    • 提供者:王金
  1. 59-Trafic-Lamp

    0下载:
  2. 本系统由单片机系统、键盘、发光二极管、交通灯演示系统组成,单片机作为主控制器用于十字路口的车辆及行人的交通管理,每个方向具有左拐、右拐、直行及行人4种通行指示灯,计时牌显示路口通行转换剩余时间。另外,在特种车辆如119、120通过路口时,系统可自动转为特种车辆放行,其他车辆禁止通行的状态,15s后系统自动恢复正常管理。并有手动控制分时段 84s与60s通行管理转换等功能。 -The system consists of microcontroller system, keypad, LED,
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:37229
    • 提供者:wang junliang
  1. Traffic-lights-

    0下载:
  2. 十字路口交通灯控制 C 程序,处理十字路口的各种情况-C intersection traffic light control procedures to deal with various situations at the Crossroads
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:54676
    • 提供者:刘敏
  1. traffic_light

    0下载:
  2. 用Verilog HDL语言写一个交通控制灯的状态机。十字路口,红绿灯,带倒计时功能,也可以自行变换亮灯时间。-Verilog HDL language used to write a traffic control light state machine. Intersections, traffic lights, with the countdown function, you can also change their own light time.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1465798
    • 提供者:sunying
  1. jiaotongdeng

    0下载:
  2. 用vhdl语言设计了一个交通灯实验 包含了十字路口交通灯的转换-Vhdl language designed by a traffic light intersection experiment includes the conversion of traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:330230
    • 提供者:lili
  1. led

    0下载:
  2. 设计一个交通信号灯控制器,在十字路口每个入口处设置红、绿色信号灯,红灯亮禁止通行,绿灯亮允许通行-Design a traffic signal controller, set at the crossroads at the entrance of each red, green lights, red light against traffic, the green light to allow passage
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:767
    • 提供者:张庆峰
« 1 2 3 4 5 67 8 9 10 11 ... 17 »
搜珍网 www.dssz.com