CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 四位乘法器

搜索资源列表

  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. multi_vhdl

    0下载:
  2. 四位乘法器的VHDL源程序-four Multiplier VHDL source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1073
    • 提供者:张庆辉
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. mux4

    0下载:
  2. 四位乘法器的VHDL语言设计,并有原理图的描述-4 Multiplier VHDL language design, and schematic descr iption of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:204235
    • 提供者:望天
  1. Booth_mul4_v

    0下载:
  2. 四位BOOTH乘法器 Booth算法(布斯算法),一个比较推荐的带符号乘法算法-Booth_mul4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:155631
    • 提供者:eric
  1. chengfaqi4

    0下载:
  2. 用VHDL实现四位乘法器,不直接用乘法实现,一来节省资源,二来可提高速度!-Use VHDL to achieve four multiplier, not the realization of the direct use of multiplication, one to save resources, and secondly to improve the speed!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1365
    • 提供者:
  1. 555

    0下载:
  2. 四位元乘法器(含TPD) 被乘數:SW(3..0) 乘數: SW(7..4) 積: LEDR(7..0)-Multiplier 4 yuan (including TPD) multiplicand: SW (3 .. 0) multiplier: SW (7 .. 4) plot: LEDR (7 .. 0)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:613742
    • 提供者:吳員外
  1. Mars-EP1C6-F_code1

    0下载:
  2. 此包中为FPGA学习板中的基础实验代码.共包括8个实验源代码:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机和四位比较器.-In this package for the FPGA board to study the basis of the experiment code. A total of eight experiments, including source code: 8-bit priority encoder, multipliers, mul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099584
    • 提供者:sunxh092
  1. shuzi4

    0下载:
  2. 四位数字乘法器,在quartus8.0下仿真时序图 -mult4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:175060
    • 提供者:standabc
  1. chengfa

    0下载:
  2. 可编程器件已有很久的发展历史了,其功能之卓越和成熟已经令当今的电子工程师们赞叹不已,除了它体积小、容量大、I/O口丰富、易编程和加密等优点外,更突出的特点是其芯片的在系统可编程技术。四位乘法器程序,VHDL语言,仿真图形 开发-four process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:215143
    • 提供者:李榆树
  1. VerilogSourceCode

    0下载:
  2. 乘法器、除法器、多路选择器、编码器、BCD码转换、加法器、减法器、状态机、四位比较器、数码管、串口、跑马灯、电子钟-Multiplier, divider, multiplexer, encoder, BCD code converter, adder, subtractor, state machines, four more players, digital control, serial port, marquees, electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2049925
    • 提供者:zhaozhifang
  1. four-bit-mul

    0下载:
  2. 用加法器乘法树实现四位乘法器。绝对可以实现,大家不妨下来-Achieved with the four adder tree multiplier multiplication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:128543
    • 提供者:张洁
  1. Four-multipliers-with-VHDL-

    0下载:
  2. 用VHDL实现四位乘法器,不直接用乘法实现。该代码思路清晰,希望可以帮助到大家!-Four multipliers with VHDL implementation, not directly with the multiplication implementation. The code is clear thinking, I hope to help to you!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1365
    • 提供者:recochun
  1. shift_mult_4

    0下载:
  2. 四位移位乘法器 VHDL 代码 已验证,可以直接拿来用-Four shift multiplier VHDL code has been verified, can be directly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:578
    • 提供者:longjiezhong
  1. Multiply

    0下载:
  2. 四进位乘法器,在modelSim有仿真结果。-4bits Multiply,having stimulation in modelSim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:157014
    • 提供者:姜华
  1. 4BITMULT

    0下载:
  2. 基于FPGA的四位乘法器,在QuartusII上编译通过可实现,采用VHDL语言编写。-Based on FPGA four on time-multiplier, in QuartusII compiled can be realized through, the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:392425
    • 提供者:左云华
  1. 16-bit-parallel-mult

    0下载:
  2. 16位并行乘法器, 由四个4位乘法器组成-16-bit parallel multiplier, consisting of four four multipliers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:2621753
    • 提供者:马原
  1. 乘法器testbench

    0下载:
  2. 用于相关四位二进制乘法的简单乘法器仿真使用的testbench
  3. 所属分类:VHDL编程

« 12 »
搜珍网 www.dssz.com