CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 进制 计数器

搜索资源列表

  1. COUNT_100

    0下载:
  2. 使用Vhdl语言编写的FPGA应用程序,实现的内容是100进制计数器-use Vhdl language FPGA applications, realizing the contents of the 100 NUMBER
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:185818
    • 提供者:丢丢熊
  1. VHDL.sheji.2

    0下载:
  2. 电子时钟VHDL程序与仿真 10进制计数器设计与仿真 6进制计数器设计与仿真-electronic clock procedures and VHDL simulation Decimal counter design and simulation of six NUMBER Design and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59453
    • 提供者:少龙
  1. FourBitsCounter

    0下载:
  2. 四进制计数器模块,使用VHDL语言编写,在ISE8.1中经过测试的模型-quaternary counter module, the use of VHDL language, in which ISE8.1 tested model
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:439993
    • 提供者:萧飒
  1. ctfysj

    0下载:
  2. 3-8译码器,BCD码转换10进制,计数器-3-8 decoder, 10 BCD switch 229, counter, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8860
    • 提供者:Gem
  1. 11223344scan_led1000

    0下载:
  2. Quartus环境下的1000进制计数器的扫描显示电路-Quartus environment under the 1000 counter-band scanning display circuit
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:209538
    • 提供者:吴语
  1. 55478362cntshow

    0下载:
  2. Quartus环境下的12进制计数器的扫描显示电路-Quartus environment of the 12 counter-band scanning display circuit
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:139611
    • 提供者:吴语
  1. 使用VHDL语言设计数字钟

    1下载:
  2. 本文所设计的数字钟具有通过reset键对时、 分、 秒调整功能.该设计分为六个部分: 六进制计数器 counter6,十进制计数器 counter10 ,二四进制计数器 counter24, 时钟模块 bclock, LED扫描显示模块 ledctrl。设计使用VHDL 语言,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1628
    • 提供者:woxisiji
  1. 60COUNTER

    0下载:
  2. 六十进制计数器.电子万年历是计数器的应用之一.年由月的十二进制计数器进位+1得到.月是日的三十进制计数器进位+1得到.日是小时的二十四进制计数器进位+1得到.小时是分的六十进制计数器进位+1得到.分是秒的六十进制计数器进位+1得到.本程序基于VHDL.其开发环境是MAXPLUS2
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4662
    • 提供者:weixiaoyu
  1. cnt6

    0下载:
  2. 基于vhdl的6进制计数器模块,实现0-5计数
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:22782
    • 提供者:贝凯
  1. cnt10

    0下载:
  2. 基于vhdl的10进制计数器模块,实现0-9计数
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:24101
    • 提供者:贝凯
  1. 2395879287591207

    0下载:
  2. 任意进制计数器的仿真分析multisim8
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:91875
    • 提供者:黄建军
  1. Counter

    0下载:
  2. VHDL硬件描述,使用环境为Quartus2 6.1 分别为16进制及60进制计数器的源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:261893
    • 提供者:李若珍
  1. 12进制计数器

    1下载:
  2. 应用VHDL语言编写十二进制计数器
  3. 所属分类:VHDL编程

  1. masplus-works 用VHDL语言编写的八进制计数器

    0下载:
  2. 用VHDL语言编写的八进制计数器,在MASPLUS环境下编译通过,可直接使用。-Octal counter using VHDL language, compiled by MASPLUS environment can be used directly.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-21
    • 文件大小:38422
    • 提供者:zeko
  1. myclk

    0下载:
  2. 两位独立数码管100进制计数器,每1秒计数一次。从0到99,到99后又回到0.-Two independent 100-band digital tube counters, every time 1 seconds count. From 0 to 99, to 99 and then back to 0.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:169024
    • 提供者:杨晴飞
  1. cout60

    0下载:
  2. 用VHDL语言编写的60进制计数器,初学者使用-VHDL language with the 60 binary counter, for beginners to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:556
    • 提供者:QF
  1. ElectronicClockandsimulationwithVHDL

    0下载:
  2. 电子时钟VHDL程序与仿真。包括:10进制计数器设计与仿真,6进制计数器设计与仿真,24进制计数器设计与仿真.-Electronic Clock and simulation of VHDL program. Includes: 10 binary counter design and simulation, 6 binary counter design and simulation, 24 binary counter design and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:63221
    • 提供者:Zhu
  1. count100

    1下载:
  2. 用VHDL语言编写的100进制计数器,计数到99后清零-VHDL language with the binary counter 100, count to 99 after the clear
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-29
    • 文件大小:45080
    • 提供者:王沙沙
  1. 16进制加减计数器

    0下载:
  2. 16进制加、减计数器,用两个数码管显示(0-15)(hex add/sub counter(show 0-15))
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-17
    • 文件大小:349184
    • 提供者:tyne
  1. 计数器

    0下载:
  2. 简单的硬件描述语言verilog语言描述的128进制计数器。(Simple hardware descr iption Language Verilog language described 128 binary counter.)
  3. 所属分类:硬件设计

« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com