CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 10010

搜索资源列表

  1. 13-310010_FSM

    0下载:
  2. 10010序列检测,用状态机来实现,非常方便-10010 Sequence Detection using the state machine to achieve very convenient
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2755
    • 提供者:孙海定
  1. 10010

    0下载:
  2. Verilog状态机设计-10010序列检测器-Verilog state machine design-10010 Sequence Detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:823
    • 提供者:txj
  1. seqdet

    0下载:
  2. 用verilog鉴定10010序列,用verilog鉴定10010序列-10010 sequence identification using Verilog with Verilog identification sequence 10010
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1034697
    • 提供者:翁建伟
  1. 10010

    0下载:
  2. verilog实现序列10010检测-verilog to achieve detection of sequence 10010
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:532
    • 提供者:lzndcb
  1. dianhua-mt8870

    0下载:
  2. 最近刚成功开发一款电话远程控制器,当听到电话里面自己录制的音频时非常高兴,觉得10010、10086那些也只是小儿科,呵呵,特把资料及自己写的代码同大家一起分享,-Recently succeeded in developing a telephone remote controller, when the hear the phone when inside their own audio recordings are very pleased to think that those are
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1488529
    • 提供者:xianglei
  1. detect_signal

    0下载:
  2. 此程序完成一个序列检测的功能,检测10010序列,适当改进,可以用于FPGA中信号检测-This process is complete a sequence of test functions, test 10010 sequence, appropriate improvements can be used for FPGA in the signal detection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:220412
    • 提供者:luosheng
  1. Tutorial_5

    0下载:
  2. 一个序列检测器的FPGA设计实验,通过LED灯显示,基于Spartan-3e开发板-The sequence detector will look for the input series “10010.” LED’s will show how much of the series has been detected and when the entire series has been entered an additional LED will come on. Circuit input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1221235
    • 提供者:飞飞三号
  1. zhuantaiji

    0下载:
  2. 简单的状态机设计,功能是检测一个5位二进制序列“10010”。考虑到序列重叠的可能,有限状态机共提供8个状态(包括初始状态IDLE)。-Simple state machine design, function is to detect a 5-bit binary sequence " 10010." Taking into account the possibility of overlapping sequences, finite state machines prov
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:538
    • 提供者:cherry
  1. seqdet_vm

    0下载:
  2. 在verilog下连续输入1和0,当输入为10010时输出为1,是初学者练习用的-In verilog continuous input 1 and 0, when the input is 10010 to 1 when the output is used for beginners to practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:658
    • 提供者:澄续缘
  1. sequence_detect

    0下载:
  2. 串行数据检测器,检测数据中是否存在10010,用FSM编写,在modelsim中仿真通过,功能上符合要求-Serial data detector detects data exists 10010, with FSM write, through simulation in modelsim functionality required
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:959
    • 提供者:liangldai
  1. 10010_xu_lie_jian_ce_qi

    0下载:
  2. 基于FPGA的序列检测器,能检测10010序列-FPGA-based sequence detector can detect a sequence 10010
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:278110
    • 提供者:huang
  1. state

    0下载:
  2. 实现对输入序列检测功能 1. 低电平异步复位 2. 检测序列特征为10010 3. 输出高电平,维持一个时钟周期 4. 数据序列一个时钟周期为一个数据态,时钟上升沿触发检测 -Detection of the input sequence to achieve 1. Low asynchronous reset 2. Detection sequence is characterized by 10010 3. High output, maintainin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:792
    • 提供者:沈骞
  1. test-series-10010

    0下载:
  2. 用于检测序列10010的程序,Verilog的状态机练习-Used to test series 10010 program, Verilog state machine practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:381477
    • 提供者:王佳
  1. seqdet

    0下载:
  2. 基于verilog hdl的10010序列检测器。-10010 sequence detector based on Verilog hdl.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:272298
    • 提供者:hdwahfi
  1. 序列检测器

    0下载:
  2. 本例子为一个序列检测器的程序,序列为:11001001000010010100,检测的序列为10010(This example is a sequence detector procedure, the sequence is: 11001001000010010100, the detection sequence is 10010)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:18432
    • 提供者:不唯花开
  1. project_2_10010

    0下载:
  2. 检测的序列10010的一个小程序,用vivado做的(A program for detecting sequence '10010' powered by vivado 2014.4)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:246784
    • 提供者:zerouuuu
搜珍网 www.dssz.com