CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 4位全加器

搜索资源列表

  1. add_2p

    0下载:
  2. 2级流水线,使用4元件实现的22位全加器的VHDL语言实现,适用于altera的FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1761
    • 提供者:wgx
  1. add_3p

    0下载:
  2. 3级流水线,含4元件的22位全加器的VHDL语言实现,适用于altera系列的FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1952
    • 提供者:wgx
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. 123654vhaing

    0下载:
  2. 八音自动播放电子琴设计 vhdl源码,文件内有具体注释 [VHDL-XILINX-EXAMPLE26.rar] - [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9- -Octave electronic keyboard play aut
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:231894
    • 提供者:杨领超
  1. cd4000x

    0下载:
  2. CD4000 双3输入端或非门+单非门 TI   CD4001 四2输入端或非门 HIT/NSC/TI/GOL    双4输入端或非门 NSC   CD4006 18位串入/串出移位寄存器 NSC   CD4007 双互补对加反相器 NSC   CD4008 4位超前进位全加器 NSC   CD4009 六反相缓冲/变换器 NSC   CD4010 六同相缓冲/变换器 NSC   CD4011 四2输入端与非门 HIT/TI   CD4012 双4输入端与非门
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2422625
    • 提供者:徐科峰
  1. quanjiaqi

    0下载:
  2. 4 级流水方式的8 位全加器-Way flow of 4 full adder 8. . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:605
    • 提供者:lzndcb
  1. chap7

    0下载:
  2. 几十个经典程序,结构描述的4 位级连全加器,1 位全加器,用条件运算符描述的4 选1 MUX-Dozens of classic procedure, the structure described in the four-level with full-adder, a full-adder, using the conditional operator described in the four selected 1 MUX, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3751
    • 提供者:chencong
  1. verilog

    1下载:
  2. Verilog初学者例程:1位全加器行为级设计、1位全加器门级设计、4位超前进位加法器、8位bcd十进制加法器、8位逐次进位加法器、16位超前进位加法器、16位级联加法器、多路四选一门级设计、七段译码器门级设计-Verilog routines for beginners: a behavioral-level design full adder, a full adder gate-level design, 4-ahead adder, decimal 8-bit bcd adder, 8-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1325820
    • 提供者:城管111
  1. add

    0下载:
  2. 4位并联全加器的fpga实现,由4个一位全加器及一个超前进位器组成,可向上进位-Four parallel QuanJia device fpga realizing by 4 a QuanJia emulators, and a leading sensor into binary, can carry up
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:25123
    • 提供者:cc
  1. add_4

    0下载:
  2. 4位串联全加器的fpga实现,由4个一位全加器组成-Four series of fpga realizing the QuanJia by 4 a QuanJia emulators
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:12005
    • 提供者:cc
  1. The-VHDL-various-basic-code

    0下载:
  2. VHDL的各种基本代码 包括4选1,8选1多路选择器,8位全加器,加1减1计数器,序列检测器,异步清零16位加减可控计数器,数码管扫描程序,双2选1,状态机等基本程序!-VHDL basic code including 4 election 1,8 to 1 multiplexer selector, 8-bit full adder, plus 1 minus 1 counter sequence detector, asynchronous clear 16 plus or minus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3696478
    • 提供者:ai
  1. fpga_program

    0下载:
  2. 在板子上全部实现。代码有:1.一位全加器;2.LED计数器;3.数码管显示0-9;4.60秒数码管计数显示;5.电子钟;6.SOPC;7.定时中断;8. TLV5618;9.按键计数
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:21741
    • 提供者:文强
  1. 4weiquanjiaqi

    0下载:
  2. 4位全加器由3个模块构成。首先,通过实例引用基本门级元件xor、and定义底层的半加器模块halfadder,接着实例引用两个半加器模块halfadder和一个基本或门元件or组合成为全加器模块fulladder,最后实例引用4个1位的全加器模块fulladder构成4位全加器的顶层模块-4 full adder by the three modules. First, the basic gate-level component instance references xor, and def
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:402806
    • 提供者:wancaihong
  1. adder4

    0下载:
  2. 基于VHDL的4位加法器。 由4个一位全加器级联构成。-VHDL-based 4-bit adder. One consists of four full adder cascade.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:540
    • 提供者:东城
  1. EDA

    0下载:
  2. 1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器) 19.二十四进制双向计数器 20.二选一 21
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:4143
    • 提供者:wanghao
  1. adder4

    0下载:
  2. 使用层次化建模的方法再quartus下实现的4位全加器。包括半加器,一位全加器和四位全加器,并进行了仿真。-This file is used for learners to learn verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:294601
    • 提供者:xiaofengyu
  1. adder5

    0下载:
  2. 5位全加器,与4位全加器相比较对新手来说更能深刻的理解Verilog语言。-5 bit full adder, compared with a 4 bit full adder for the novice can be more profound understanding of Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2810137
    • 提供者:Tomy
  1. 21

    0下载:
  2. 基于DE1的4位全加器(可视化),通过数码管显示,开关输入实现。-4 bit full adder based on DE1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:219145
    • 提供者:陈云成
  1. exp01_adc32

    0下载:
  2. 通过4位加法器实现32位加法器,使用串行进位的方式首先设计一个8位全加器,然后在8位全加器的基础上设计实现32位全加器(A 32 bit adder is implemented through a 4 bit adder. First, a 8 bit full adder is designed using serial carry. Then, a 32 bit full adder is designed on the basis of 8 bit full adder.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-29
    • 文件大小:542720
    • 提供者:Dramazoey_wong
« 1 23 »
搜珍网 www.dssz.com