CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Arithmetic

搜索资源列表

  1. em78

    0下载:
  2. EM78系列单片机子程序包下载!包括加减乘除~-EM78 Series MCU subroutine package download! Including the arithmetic ~
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6554
    • 提供者:小顽童
  1. newscope

    0下载:
  2. 这是基于dsp的交流电机调速软件。编程环境是ccs2000,算法用的是spwm方法,用的是c语言和汇编混编,程序里有注释。*.h和*.cmd文件是头文件和内存分配文件-this is the program of the alternating-current machine speed control based on dsp.the progran enviroment is ccs2000,the arithmetic is the spwm,it is programmed with c
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:71875
    • 提供者:费仙凤
  1. 能综合的YCrCb2RGB模块(verilog)_采用3级流水线

    1下载:
  2. 能综合的YCrCb2RGB模块(verilog)_采用3级流水线,用fpga做小数运算,还有就是流水线技术 -can YCrCb2RGB integrated module (Verilog) _ used three lines, they simply do with fractional arithmetic, there is pipelining technology
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1080
    • 提供者:于飞
  1. 6tapFIR.rar

    0下载:
  2. 6阶FIR+verliog+分布式算法(DA),6 bands FIR+ Verliog+ Distributed Arithmetic (DA)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2109
    • 提供者:zs
  1. Signed-Arithmetic-in-Verilog-2001

    0下载:
  2. 有符号数的完整讲义和例子Verilog 2001-Signed Arithmetic in Verilog 2001, paper with examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:155627
    • 提供者:严刚
  1. ALU

    0下载:
  2. 算数逻辑单元,实现算数加、减,加1、减1运算和逻辑与、或、非和传递-Arithmetic logic unit, to achieve arithmetic add, subtract, plus one, minus one operation and logical AND, OR, and transmission of non-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:303012
    • 提供者:龙一
  1. dd

    0下载:
  2. 文中给出了数据融合算法,并提出把数据融合方法应用于火电机组的在线性能计算中,使计算结果能更完善、准确地反映机组的运行状况。这种数据融合方法计算简便,可以反映传感器在空间或时间上的冗余或互补的信息,获得比有限个传感器的算术平均值更准确的测量结果,具有较高的可靠性,实际应用结果证实了该算法的准确性,可推广到其它具有正态分布特性测量结果的数据融合。-Paper gives the data fusion algorithms, and to make the data fusion method is
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:165591
    • 提供者:coco
  1. ALU8

    0下载:
  2. ALU算术逻辑单元,8位,含源程序以及仿真后的波形图-ALU arithmetic logic unit 8, including source code, as well as post-simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:30037
    • 提供者:赵剑平
  1. arithmetic

    0下载:
  2. 在Verilog环境下实现简单的数学逻辑运算从而更好的了解 VHDL的编程风格-arithmetic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:57690
    • 提供者:蓝天
  1. ArithmaticCodingExample

    0下载:
  2. Arithmetic Coding example
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:39303
    • 提供者:mohamed
  1. Char5-basic-arithmetic-logic-models

    0下载:
  2. 夏宇闻著作:从算法设计到硬线逻辑的实现,CHAR5:基本运算逻辑和它们的Verilog_HDL模型-XIA Wen works: from algorithm design to hard wire logic implementation, CHAR5: basic arithmetic logic models and their Verilog_HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:215604
    • 提供者:Aaran
  1. Digital-Computer-Arithmetic-Datapath-Design-Using

    0下载:
  2. Digital Computer Arithmetic Datapath Design Using Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1147216
    • 提供者:ali
  1. arithmetic-logic-unit

    0下载:
  2. 该文档很好的讲述了运算逻辑单元和他们的verilog模型的设计-The document describes a good arithmetic logic unit and their model design verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:215641
    • 提供者:yangfeng
  1. Handbook-of-Floating-Point-Arithmetic---Birkhause

    0下载:
  2. Floating-point arithmetic (2008), ADD, SUB, MUL, SQRT, FUNCTION (IEEE 754-1985 Standard, IEEE 854-1987 Standard, New IEEE 754-2008 Standard)-Floating-point arithmetic (2008), ADD, SUB, MUL, SQRT, FUNCTION (IEEE 754-1985 Standard, IEEE 854-1987 Stand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5574848
    • 提供者:ricvadim
  1. From-Arithmetic-to-Hardware-Logic

    0下载:
  2. 夏宇闻著作:从算法设计到硬线逻辑的实现.DOC Verilog HDL的基本算法及实现-From Arithmetic to Hardware Logic. Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:835584
    • 提供者:zhangyc
  1. DSP-chip-fixed-point-arithmetic

    0下载:
  2. DSP chip fixed-point arithmetic
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:225867
    • 提供者:周晓军
  1. ARITHMETIC

    0下载:
  2. 算术乘法器,这是我自己设计的算术乘法器,是用VHDL语言设计的,希望对大家有帮助-Arithmetic multiplier, this is my own design arithmetic multiplier, is designed with VHDL language, and they hope to help everyone
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:675
    • 提供者:liuchuan
  1. An-Arithmetic-Logic-Unit

    0下载:
  2. An Arithmetic Logic Unit
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:16856
    • 提供者:cairuiqiu
  1. The-arithmetic-average-filtering

    0下载:
  2. 基于KEIL开发环境的算术平均值滤波51单片机C语言程序代码-KEIL development environment based on the arithmetic average of the filter 51 microcontroller C language code
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:10894
    • 提供者:汪彦飞
  1. arithmetic

    0下载:
  2. 汇总了几种常见的算法,算法用C语言实现,在自己的产品中已使用,无bug-some program arithmetic
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2042
    • 提供者:alen
« 12 3 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com