CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - INPUT KEY

搜索资源列表

  1. anjian

    0下载:
  2. 按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值-button input module (key) : -- p
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2816
    • 提供者:汪汪
  1. 单片机坐标定时器实验

    0下载:
  2. http://www.edacn.net/cgi-bin/forums.cgi?forum=7&topic=9127下,则R3~R0的输出信号中会有一个为1,但我们还是是无法确定哪一个键被按下,必須要从R3 ~R0 的输出信号与C3~C0的扫描信号共同決定那个按键被按下. 编写VHDL的构思: 外部接口包括: a. INPUT脚 : CLK , R3~R0. b. OUTPUT脚 : C3~C0 , DATA3~DATA0(辨别出的按键值). -7topic http://ww
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1559994
    • 提供者:杨要强
  1. SmartReader

    0下载:
  2. 智能阅读器       阅读器(运行于WINCE平台):阅读器满足一般现实中阅读书籍时的需求,除一般阅读器所具有的功能:索引,书签,搜索,定位等。还可以一键跳转,自动滚屏。电子书生成器(运行于WINDOWS 平台):读入多个TXT文件连接成文章正文(顺序按照读入顺序)。可手动设置章节,也可以根据用户输入的章节数目分自动生成章节(按照文章的长度等分)。 -intelligent reader reading (running on the platform pulled) : re
  3. 所属分类:Windows CE

    • 发布日期:2008-10-13
    • 文件大小:544828
    • 提供者:刘钦
  1. overSHANGJI

    0下载:
  2. 微机原理课程设计_打字计时练习_汇编课程设计说明:这是一个打字计时练习的程序,在缓冲区中预放了一些字母,运行时,可按照屏幕上 显示的字母输入练习,每输入完一行按回车键后,可显示出练习输入的时间. -Computer Curriculum Design Principle time to practice typing _ _ compilation of curriculum design : This is a time to practice typing procedures, t
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6221
    • 提供者:3313658
  1. Font_view

    0下载:
  2. 转贴原作者声明: ViewChr 程序使用说明: 本程序可方便浏览和调用 Hzk16(16*16中文点阵字库)和Asc16(8*16中文点阵字库)内容。 通过滚动条对字库进行浏览,通过文本框可以输入中文字符获取其字体点阵,按\"Add chr.asm\"键或回车键可以将其字体点阵16进制数据转换成对应的ASCI码追加到CHR.ASM文件中。在显示文字区域双击可切换网格显示开关状态。 如果你要做点阵屏显示中文字体程序,那这个程序将是你的好帮手。 (
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:146108
    • 提供者:申平
  1. dyy

    2下载:
  2. 简易数控直流电源 摘要:本实验设计了一个以单片机89C51为基本控制核心的简易数控直流电源。.该设计包括直流电源输入及输出两部分,可完成0~15V之间各不同幅值的电压的输出,能够预置数,能够自动扫描输出电压并直接显示到LED数码显示管上,并可扩展输出三角波等波型。其中电压输出部分,既可手动的每按”+””-”键一下进行每0.1V大小的上下调整,也可长按”+””-”键使其自动的递增或者递减,直到需要的数值。预置数时用切换键切换预置个位或小数位,按”+””-”键进行微调。单片机编程部分是基于WAV
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1301595
    • 提供者:tang
  1. Chineseoutput

    0下载:
  2. 嵌入式汉字输入法及汉字库设计,点阵字库,精简的数据结构,高效的4键输入法-embedded Chinese character input method and Store Design, lattice character, streamlining the data structure, efficient four key input method
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:692591
    • 提供者:李飞
  1. PushButton_Debouncer

    0下载:
  2. KEY INPUT DEBUNCE VERILOG-KEY INPUT DEBUNCE verilog
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1176
    • 提供者:林潮東
  1. IOc51

    0下载:
  2. 用P1口,一位接按键输入,四位接LED输出显示一个四位二进制数,每次按键,二进制数加1 P1口用4*4键盘输入,P0口接1位数码管显示16个键,分别显示0—F 实现一位十进制计算器功能-with P1, an access key input, then four LED output showed a binary number four, each button. plus a few binary P1 mouth 4 * 4 keyboard input, P0 I receiv
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:841
    • 提供者:
  1. sdfhstyvety

    0下载:
  2. 键盘显示程序.rar键盘是由若干个技键组成的开关矩阵,是最简单的单片机输入设备。通过键盘可输人 数据和命令,实现简单的人机对话。 -keyboard and display procedures. Rar keyboard is made up of a number of key technological switch matrix, is the simplest and most of SCM imported equipment. Through the keyboard in
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1548
    • 提供者:后果发挥
  1. qd

    0下载:
  2. 8051单片机乘法抢答器: 开机后,按0#键,随机出题,看到题目后从键盘输入答案 答题正确,蜂鸣器响3声 * 继续出下一题,如果不知道答案则按0c键, 提示正确的答案-8051 multiplication Responder : Boot, press 0 # key, random topic. see the topic from the keyboard input after answering correctly answer, buzzer ring for three
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4776
    • 提供者:stone
  1. ST2410_APP_iar1

    0下载:
  2. s3c2410 ads下的测试程序移植到 iar ewarm v5.2;包括 Please select function : 0 : Please input 1-14 to select test 1 : Real time clock display 2 : 4 key array test 3 : Buzzer test 4 : ADC test 5 : IIC EEPROM test 6 : Touchpanel test 7 :
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:1384843
    • 提供者:yang
  1. 直接在Keil下仿真的T9拼音输入法(完整版)

    1下载:
  2. 仿真步骤如下: 1、把3个帖子的内容分别保存为51t9py.c,51t9py_indexa.h,5py_mb.h,放在同一目录下,将51t9py.c加入工程编译 2、由于Keil的模拟串口是单字节显示,汉字显示为乱码,所以要加挂RICHWIN或RichView这种会重新刷新显示的中文平台,或手工刷新屏幕,所以请先到 http://www.pchome.net/dl/chinese.htm 下载RichView,安装运行 3、在Keil环境下可以直接按“Ctrl+F5”键仿真,按“F5”全速运行,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6345
    • 提供者:许赞龙
  1. 消抖通用函数XIAOPRO:

    0下载:
  2. EDA中很重要的小程序,保证按键可靠性,防止抖动误差信号产生,外部信号输入时必用此消抖函数-EDA very important small procedures to ensure that key reliability and prevent jitter error signal generated, the external input signal must use this function Consumers shiver
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2809
    • 提供者:李培
  1. s3c2410,iar

    2下载:
  2. s3c2410 ads下的测试程序移植到 iar ewarm v5.2;包括 Please select function : 0 : Please input 1-14 to select test 1 : Real time clock display 2 : 4 key array test 3 : Buzzer test 4 : ADC test 5 : IIC EEPROM test 6 : Touchpanel test 7 : 3.5# TFT LCD 240*320 test 8
  3. 所属分类:uCOS开发

    • 发布日期:2010-10-30
    • 文件大小:2471888
    • 提供者:hzl1437
  1. STM32-Key-input-experiments

    0下载:
  2. 按键输入实验(STM32F103ZET-库函数版)-Key input experiments (STM32F103ZET- library function version)
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:470863
    • 提供者:朱文兵
  1. test4

    0下载:
  2. 本实验要求完成一个二十进制的计数器,并且通过数码管进行静态显示。在 实验中时, 选择系统时钟作为输入时钟( clk),,用两个按键输入, 当键 8 高电平, 进行复位,当键 8 低电平,键 7 高电平时,进行时能计数,所计的数在数码管上 进行显示。-This experiment requires the completion of a two-decimal counter and through digital static display. In the experiment,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:272295
    • 提供者:小方
  1. MINISTM32-Key-Test

    0下载:
  2. STM32按键测试代码,用于STM32 IO口输入测试。-STM32 Key test code,used for STM32 IO input test.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-05
    • 文件大小:42496
    • 提供者:阿俊
  1. GPIO输入—按键检测

    0下载:
  2. stm32 库开发,工程模板GPIO输入—按键检测(STM32 library development, engineering templates, GPIO input - key detection)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-24
    • 文件大小:284672
    • 提供者:bobotang
  1. KEY

    0下载:
  2. 4个输入按键gpio配置和接口定义,可选择是否支持连续按。(4 input keys GPIO configuration and interface definition.)
  3. 所属分类:微处理器开发

    • 发布日期:2018-04-21
    • 文件大小:1024
    • 提供者:MiracleZhou
« 1 2 3 45 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com