CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Modulation

搜索资源列表

  1. xinhaofashengqi

    0下载:
  2. 本设计以LPC2103为控制芯片,探索研究了低频信号发生器的原理和应用,设计输出频率及幅度可调,频率范围为1HZ~50KHZ的正弦波、方波、三角波、调幅波、调频波及其复合波信号,具有信号频率、波形、幅度变化容易,硬件简单可靠等特点的多功能信号源。-For the control of the LPC2103 chip design, explore and study the low frequency signal generator theory and application of des
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-30
    • 文件大小:562845
    • 提供者:tan
  1. ddscore

    0下载:
  2. dds基本结构,能进行相位累加,具有调相功能-dds basic structure of the phase can accumulate, with the phase modulation function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:878
    • 提供者:刘浏
  1. C12_PWM

    0下载:
  2. DG128\DG128C\C12_PWM 脉宽调制-DG128 \ DG128C \ C12_PWM pulse width modulation
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:228134
    • 提供者:Daniel_yang
  1. bujinvc

    0下载:
  2. 通过按键进行电源递增递减的控制,实现pwm的输出的调制-Increased by decreasing the power button to control the output of the modulation to achieve pwm
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:13009
    • 提供者:丁政
  1. lqx_fsk_ok

    0下载:
  2. 模块化实现2FSK调制,简单易懂非常适合初学者学习参考.-Modular realization 2FSK modulation, easy to understand reference is suitable for beginners to learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:315273
    • 提供者:ikhik
  1. CLanguageProgrammingForTheDevelopmentOfThoseGuidel

    0下载:
  2. 本文举例说明了如何用软件实现脉宽调制(PWM),如何将该设计转换成一个可以在FPGA中运行的逻辑块,并能利用存储器映射I/O接口通过软件完成对该逻辑块的控制。- the paper illustrates how to use software pulse width modulation (PWM), how can the design into a run in the FPGA logic blocks, and can use memory mapped I/O Interface c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:135735
    • 提供者:susuwen
  1. ASKVHDL

    0下载:
  2. 8.9 ASK调制与解调VHDL程序及仿真.doc-8.9 ASK modulation and demodulation process, and VHDL simulation. Doc
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:41992
    • 提供者:李传敏
  1. LPC2114_FSK_proteus

    0下载:
  2. 该代码是针对超声波FSK模式测距在proteus下的仿真研究,利用LPC2114实现调制解决,主要难度是解FSK信号变化时刻,实现高精度测距。-The code is a FSK mode of ultrasonic ranging in proteus simulation under study, the modulation using LPC2114 to solve the main difficulty is to explain the FSK signal changes in
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:168221
    • 提供者:郑郁正
  1. signal

    0下载:
  2. 本例实现了一个FSK/PSK信号调制通信系统。通过FPGA平台上的按键控制,可分别产生FSK和PSK波形。-This example implements a FSK/PSK modulation communication systems. FPGA platforms through key control, FSK and PSK waveforms are generated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:27218
    • 提供者:周志法
  1. report

    0下载:
  2. ppm modulation by vhdlcod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:700995
    • 提供者:bahram
  1. FPGACPLD_MSKmod_demod

    0下载:
  2. FPGACPLD的MSK调制解调的工程应用,要下的赶快-FPGACPLD the MSK modulation and demodulation of engineering applications, it is necessary to quickly Ha ha ha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:352112
    • 提供者:yang
  1. mypwm___OK

    0下载:
  2. 51,,两个定时器,,实现PWM调制的模拟-51, two timers, PWM modulation of the simulation to achieve
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:33872
    • 提供者:beyond
  1. ML5830DS

    0下载:
  2. 该IC利用的无线频率范围是ISM频带的5.790G~5.840GHz。采用FSK调制方式。集成有上行转换混频器、缓冲器及预驱动器放大器、Fractional-N型(分数型锁相型)频率合成器等-The use of radio frequency IC is the ISM band 5.790G ~ 5.840GHz. Using FSK modulation. Integrated upconverter mixer, buffer and pre-driver amplifier, Frac
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:481558
    • 提供者:萧和
  1. 3

    0下载:
  2. FSK调制与解调VHDL程序及仿真,进行FSK的操作,可以进行验证-FSK modulation and demodulation process, and VHDL simulation, for FSK operation, can be verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:51954
    • 提供者:shaohong
  1. pmw

    0下载:
  2. 基于VHDL的pmw发生器论文格式,仿真实现。word文档-pmw,VHAL,pluse width modulation generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:175281
    • 提供者:愫愫西
  1. an501_design_example

    0下载:
  2. 在MAX2系列CPLD上实现脉冲宽度调制(PWM),完整的设计成程序和仿真结果。-In the MAX2 series CPLD to realize pulse width modulation (PWM), a complete design and simulation results into the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:259188
    • 提供者:王志慧
  1. fsk

    0下载:
  2. vhdl语言实现信号的fsk调制和解调。用 Quartus软件仿真-vhdl language signals fsk modulation and demodulation. Software simulation using Quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4893
    • 提供者:wlp
  1. dayin

    0下载:
  2. 该程序利用vhdl语言,采用查表法实现am调制,此方法简洁又有效-The program using vhdl language, using look-up table method to achieve am modulation, this method is simple and effective
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:66578
    • 提供者:rain
  1. qpsk

    0下载:
  2. 实现qdpsk数字调制,应用的是相位选择法进行调制-Achieve qdpsk digital modulation, the phase selection method is applied to modulate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:3159
    • 提供者:king
  1. MPSKVHDL

    0下载:
  2. MPSK调制与解调VHDL程序与仿真,内有详细说明及程序,仿真结果。-MPSK modulation and demodulation procedures and VHDL simulation, with detailed instructions and procedures, the simulation results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:80346
    • 提供者:李东
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 50 »
搜珍网 www.dssz.com