CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Modulation

搜索资源列表

  1. elecfans.com-74783742

    1下载:
  2. FPGA的重要实例,如PSK调制和解调,ASK,FSK-An important example of FPGA, such as PSK modulation and demodulation, ASK, FSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1279053
    • 提供者:钟莉
  1. ask

    0下载:
  2. 提供一个把通信中ASK调制用VHDL来实现的例子,内附有相应的VHDL源程序。-To provide a communication ASK modulation achieved using VHDL example, enclosing a corresponding VHDL source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:66686
    • 提供者:靳朝
  1. digital

    0下载:
  2. 数字信号传输中,调制是很很重要的一个方面,这个有效代码希望更可以帮助大家-Digital signal transmission, modulation is a very important aspect, the effective code would like to know more can help you
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:9992
    • 提供者:刘东
  1. PSK_VHDL

    0下载:
  2. CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言,对基带信号进行调制 -VHDL procedures CPSK modulation- the file name: PL_CPSK- features: VHDL hardware descr iption language based on the base-band signal modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:73127
    • 提供者:huangsong
  1. project_fsk

    1下载:
  2. DSP中实现的RS编码+MFSK调制的实现-this program is used in communication of rs encoder and mfsk modulation
  3. 所属分类:DSP编程

    • 发布日期:2013-11-07
    • 文件大小:170666
    • 提供者:naifeng_chen
  1. Verilog_example_of_pulse_width_modulation

    0下载:
  2. 学习verilog的一些资料。是脉宽调制控制的题目,以及源码和仿真文件。感觉代码风格还不错,可以学习一下。-Verilog study some of the information. Pulse width modulation control are the subject, as well as the source code and simulation files. Feel good style of code, you can study about.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6305999
    • 提供者:nothing
  1. FSKmodulationanddemodulation

    0下载:
  2. FSK调制与解调,整个设计基于ALTERA公司的QuartusⅡ开发平台,并用Cyclone系列FPGA实现。所设计的调制解调器具有体积小、功耗低、集成度高、软件可移植性强、扰干扰能力强的特点,符合未来通信技术设计的方向。-FSK modulation and demodulation, the entire design is based on ALTERA' s development platform Quartus Ⅱ, and Cyclone series FPGA implem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:575353
    • 提供者:张继峰
  1. ask

    0下载:
  2. VHDL语言编写的调制过程,其中包含了采样量化和编码的全部源代码-VHDL used for modulation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1600
    • 提供者:李明
  1. fpga

    0下载:
  2. 无线光通信技术具有通信容量大、传输速率高等众多优点, 在许多场合都有重要的应用, 是现代通信技术研究的一个热点。由于脉冲位置调制 ( PPM ) 有较高的平均功率利用率和抗干扰能力, 故 PPM是无线光通信系统中常用的调制方式。在研究 PPM调制技术的基础上, 就基于 FPG A的无线光通信 PPM调制系统进行设计, 并用 V H D L语言完成了系统的设计和仿真。仿真结果表明, 该设计具有正确性和合理性。-Wireless optical communication technology ha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:194255
    • 提供者:朱雯
  1. FPGA_AM

    0下载:
  2. 基于cyclone系列FPGA的模拟幅度调制的VHDL代码-Cyclone series FPGA-based simulation of VHDL code amplitude modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:642005
    • 提供者:李承运
  1. pwm__vhdl

    0下载:
  2. 一个基于vhdl语言的脉冲宽度调制。并且有两个脉冲输出-Vhdl language-based pulse width modulation. And two pulse output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:904555
    • 提供者:邹细男
  1. FSK_PSK_tiaozhidianlusheji

    0下载:
  2. FSK/PSK调制电路设计,基于vhdl和quartus2-FSK/PSK modulation circuit design, based on the vhdl and quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:28034
    • 提供者:neversee
  1. tp04_BPSK

    2下载:
  2. BPSK MODULATION SYSTEM WITH CCS 3.3 SIMULATOR DSP
  3. 所属分类:DSP编程

    • 发布日期:2014-03-08
    • 文件大小:17156
    • 提供者:CR07
  1. sanxiangxinhaoyuan

    0下载:
  2. 基于vhdl的三相信号源,可任意置频率和相位,还有调频输出模式,可以输出调频波-Vhdl-based three-phase signal source can be arbitrary frequency and phase of home, as well as frequency modulation output mode, you can output FM wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1084710
    • 提供者:rd
  1. MPSK

    0下载:
  2. MPSK调制与解调系统设计和VHDL程序与仿真-MPSK modulation and demodulation system design and simulation of VHDL procedures and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:80185
    • 提供者:jack wolf
  1. dds

    0下载:
  2. 基于DDS的调频调相 通过改变频率控制字来控制 程序编译过 搭过硬件 可以实现-FM Based on DDS phase modulation by changing the frequency control word to control the program compiled the hardware can be achieved take-off
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:459318
    • 提供者:梁梁
  1. FSK_OK

    0下载:
  2. 基于DSP无线通讯领域的FSK调制,与DSP解调程序可以组成完整的无线收发系统-DSP-based wireless communications FSK modulation and demodulation with DSP programs can be composed of a complete wireless transceiver system
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:26815
    • 提供者:hpfei77
  1. module_dem

    2下载:
  2. 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:6068389
    • 提供者:yu
  1. 50846288C

    0下载:
  2. verilog 硬件编程实现bpsk调制-verilog hardware, programming bpsk Modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:50884
    • 提供者:凡要林
  1. CPFSK

    0下载:
  2. CPFSK调制的相关资料文档,有一定的参考意义。-CPFSK modulation information documents, there is a certain reference value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:578694
    • 提供者:niuniu
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com