CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - NCO vhdl

搜索资源列表

  1. NCO_ip

    0下载:
  2. NCO的VHDL程序,是利用IP核生成的,超好的,快下吧
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:128371
    • 提供者:张俊
  1. 7941952NCO_sin.rar

    0下载:
  2. NCO 代码设计 使用VHDL语言 ,nco
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4136
    • 提供者:lucifer
  1. NCO_based_rom

    1下载:
  2. 完整的基于ROM查找表的NCO 产生10位宽的正交信号-Integrity of the ROM-based lookup table of the NCO have 10-bit wide of the orthogonal signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-21
    • 文件大小:86073
    • 提供者:gsg
  1. RomNCO

    1下载:
  2. 基于NCO的数字控制振荡器。带测试程序,输出12位的COS和SIN波形。-Based on the digital control oscillator NCO. With test procedures, the output 12 of the COS and the SIN waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-22
    • 文件大小:30074
    • 提供者:咚咚
  1. NCO

    1下载:
  2. 用verilog语言写的NCO,在quartus环境中应用-Verilog language written with NCO, quartus environment in the applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-22
    • 文件大小:3072
    • 提供者:刘春
  1. NCO

    0下载:
  2. 基于FPGA和SRAM的数控振荡器的设计与实现-SRAM-based FPGA and NCO of the design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:44795
    • 提供者:gsg
  1. CORDIC

    0下载:
  2. 数字控制振荡器(NCO,numerical controlled oscillator)是软件无线电、直接数据频 率合成器(DDS,Direct digital synthesizer)、快速傅立叶变换(FFT,Fast Fourier Transform) 等的重要组成部分,同时也是决定其性能的主要因素之一,随着芯片集成度的提高、在信号 处理、数字通信领域、调制解调、变频调速、制导控制、电力电子等方面得到越来越广泛的 应用。-Digital controlled oscilla
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4164
    • 提供者:司令
  1. gencontrol

    0下载:
  2. 高速任意波形产生器控制模块 控制NCO,FIFO,并串转换-hign-speed wfgenerator control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:545
    • 提供者:ted yang
  1. RealizationofdigitaldownconversionbyFPGA

    0下载:
  2. 介绍在FPGA 器件上如何实现单通道数字下变频(DDC)系统。利用编写VHDL 程序和调用部分IP 核相结合的方法研究了数字下变频的FPGA 实现方法,并且完成了其主要模块的仿真和调试,并进行初步系统级验证。-Introduced in the FPGA device on how to achieve the single-channel digital down conversion (DDC) system. VHDL procedures and the use of the prepa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162827
    • 提供者:于银
  1. nco

    0下载:
  2. 基于DSP builder搭建的DDS模块,可以用在数字下变频中的NCO等-Based on DSP builder to build the DDS module can be used in digital down-conversion of the NCO, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7570
    • 提供者:郑程
  1. waveform_gen_latest.tar

    0下载:
  2. VHDL实现NCO与LUT(查找表) VHDL实现NCO与LUT(查找表)-VHDL realization of NCO and LUT (lookup table) VHDL Implementation NCO and LUT (lookup table)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:570202
    • 提供者:张颖
  1. A_digital_WaveformGenerator_and_Oscilloscope_based

    0下载:
  2. 一种基于BASYS开发板(Xilinx Spartan-3E FPGA)的波形发生器和示波器的设计,可以产生多种可调波形,并实时显示在电脑显示器或者投影仪上。波形发生器采用基于ROM的数字控制振荡器(NCO)实现,示波器采用VGA接口实时显示。-A kind of digital WaveGenerator and Oscilloscope based on tne BASYS experiment board which has a Xilinx Spartan-3E FPGA on it.T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-08-29
    • 文件大小:3417088
    • 提供者:张文
  1. NCO

    0下载:
  2. 关于FPGA设计实现NCO,包括查找表法和CORDIC算法的改进-FPGA design and implementation on the NCO, including the look-up table method and the CORDIC Algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1444443
    • 提供者:张子龙
  1. dac7513_DDS_7822

    0下载:
  2. 基于VHDL的NCO利用DAC7513产生sin或cos 的代码-DAC7513 VHDL NCO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5167113
    • 提供者:李才
  1. New-folder

    0下载:
  2. VHDL codes for booth , nco and some more
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1918
    • 提供者:Ashwin
  1. nco

    0下载:
  2. 数字接收机DDS中NCO设计,vhdl代码参考-NCO of DDS in a digital receiver design,vhdl code reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1168
    • 提供者:rickdecent
  1. FPGA_trainning2013A

    0下载:
  2. 在EDA实验课上面,自己编写的NCO程序,可以产生出比较真实的正弦波、三角波以及锯齿波,用VHDL程序编写,有modelsim仿真textbench程序-On EDA experiment, oneself write the NCO program, can produce more real sine wave, triangular wave and sawtooth wave with VHDL programming, have the modelsim simulation text
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:403650
    • 提供者:刘far
  1. nco-cos

    0下载:
  2. 余弦波发生器,利用vhdl仿真软件可以产生余弦波图像-Cosine wave generator, the use of VHDL simulation software can generate cosine wave images
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1496267
    • 提供者:liuyushen
  1. NCO VHDL IMPLEMENTATION

    1下载:
  2. its NCO vhdl implementation very useful and helpfull for beginners. it has vhdl files and testbench. wish you best of luck.
  3. 所属分类:VHDL编程

    • 发布日期:2018-12-29
    • 文件大小:5900
    • 提供者:nasirkhanpak25
  1. 平方环VHDL实现

    1下载:
  2. 数字平方环电路,实现了从BPSK信号中提取相干载波的功能,简单易行,便于实现,并对其进行了数学推导和建模仿真,具有良好的实用价值。平方环以其电路结构简单而得到了广泛应用。但在平方环电路的设计中,由于NCO(或VCO)工作在2ωc频率上,当环路锁定后,其NCO(或VCO)的输出需经过二分频才能得到所需载波。而二分频电路在实现过程中,特别是在对NCO进行数字分频时,用FPGA实现太耗资源。
  3. 所属分类:VHDL编程

« 12 »
搜珍网 www.dssz.com