CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - VHDL 加法器

搜索资源列表

  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45195
    • 提供者:刘建
  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 Adder, digital clock, digital d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:865899
    • 提供者:田晶昌
  1. add

    0下载:
  2. VHDL的初学者可以参考此VHDL加法器,相信会给你带来不小的收获-VHDL beginner can refer to the VHDL adder, I believe will bring you not a small harvest
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4918
    • 提供者:自由之神
  1. adder32

    0下载:
  2. 原理图输入法制作的32位加法器-adder32
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:483290
    • 提供者:fanpei
  1. VHDLonfir

    0下载:
  2. FIR滤波器在VHDL中使用(顺序)PROCESS声明或者是加法器和乘法器的“组件 实例”来实现-FIR filter in VHDL use (in order) PROCESS statement or the adder and the multiplier " component instance" to achieve the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:956
    • 提供者:wangYC
  1. adder16b

    0下载:
  2. 16位串行进位加法器 和值都依赖于上一位的进位信号,即进位信号是串行的经过加法器的每一位。所以进位链的长度与整个加法器的位数有关。-March 16 of the old adding
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:3103
    • 提供者:fdsa
  1. TB_VHDL(adder)

    1下载:
  2. 加法器的VHDL源码及其对于的仿真Testbench 文件的编写-VHDL Code about adder for the "Simple Test Bench" example VHDL Code about adder for the "Simple Test Bench" example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:781
    • 提供者:帅哥新
  1. bijiaoqi

    0下载:
  2. 比较器、加法器、pwm的VHDL描述 可以用作正弦变方波的器件 比较器经改变可做pwm-compare by vhdl ,use as changing sin to squart signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4920055
    • 提供者:王鹏
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. TEST5

    1下载:
  2. 8位硬件加法器设计 熟悉Quartus II的VHDL文本设计流程全过程,学习简单时序电路的设计、仿真和测试。-eight bit Hardware adder design Familiar with Quartus II VHDL text design flow process, learn the simple sequential circuits design, simulation and testing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:27978
    • 提供者:无忌
  1. VHDL

    0下载:
  2. 基本的VHDL程序代码,如加法器,乘法器,译码器,编码器等等,希望能给大家一些帮助,分享万岁!-Basic VHDL code, such as adders, multipliers, decoders, encoders, etc., I hope to give you some help, to share long live!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1295
    • 提供者:ddanteng
  1. VHDL

    0下载:
  2. 设计五位逐级进位和超前进位加法器 练习使用EDA工具设计逻辑电路的方法-5bit adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:136553
    • 提供者:张大人
  1. 100-vhdl-examples

    0下载:
  2. 资料中包含了100个VHDL语言开发范例,如:加法器、乘法器、比较器、二路选择器、寄存器、综合单元库、函数、七值逻辑线或分辨函数-The data contains 100 examples of VHDL language development, such as: adder, multiplier, comparator, double-selection, register, comprehensive cell library, function, seven-value logic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:641617
    • 提供者:东方不败
  1. vhdl

    0下载:
  2. vhdl cpu芯片逻辑设计的一部分实现 只有一小部分 大家可以看一下 寄存器 加法器之类的-vhdl cpu chip logic design part of its implementation only a little part everry look and see b=about registers adder and so on
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-15
    • 文件大小:52077
    • 提供者:刘志富
  1. add-based-on-vhdl

    0下载:
  2. 1位和4位加法器的VHDL硬件描述语言实现,可用quaturs实现。-add based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:3176
    • 提供者:刘睿
  1. vhdl

    0下载:
  2. 通过VHDL语言,实现简单的多路选择器、串行加法器、并行加法器、计数器-By VHDL language, a simple multiple-choice, serial adder, parallel adder, counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:11805
    • 提供者:zdy
  1. 2.1.5P4-Adder-VHDL-and-Waveform

    0下载:
  2. p4_adder 奔腾4cpu的加法器,包括carry selectadder carry generator -p4_adder Pentium 4cpu adder includes carry selectadder carry generator
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-25
    • 文件大小:69089
    • 提供者:young
  1. VHDL

    1下载:
  2. 设计一个具有进位输入和进位输出的8位行波进位加法器-8-bit ripple carry adder design having a carry input and a carry output
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-04
    • 文件大小:3098
    • 提供者:lee
  1. adder8

    0下载:
  2. 基于vhdl的八位加法器,以两个四位加法器为基础(Eight bit adder of VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:1643520
    • 提供者:ydb
  1. Half-Adder

    0下载:
  2. xilinx ISE平台提供1位半加法器,模块随模拟提供(Half- adder 1- bit design implemented in ISE XIlinx Design Suite. Module in VHDL language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:21504
    • 提供者:DanCerv
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com