CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - VHDL 计数器

搜索资源列表

  1. 计数器:generate语句的应用

    0下载:
  2. VHDL语言应用实例,计数器的设计,用GENERATE语句实现-VHDL example, counter design, realization GENERATE statement
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1015
    • 提供者:刘杰
  1. VHDL.sheji.2

    0下载:
  2. 电子时钟VHDL程序与仿真 10进制计数器设计与仿真 6进制计数器设计与仿真-electronic clock procedures and VHDL simulation Decimal counter design and simulation of six NUMBER Design and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59453
    • 提供者:少龙
  1. counter1

    0下载:
  2. vhdl 计数器源程序,大家看看吧 vhdl 计数器源程序,大家看看吧-vhdl counter source, we see it vhdl counter source, we see it
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1607
    • 提供者:张三
  1. conter1

    0下载:
  2. 一个VHDL计数器。可进一步改装成实际的计数器使用-a VHDL counter. Can be further converted into actual use of the Counter
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1510
    • 提供者:z9z9
  1. 12进制计数器

    1下载:
  2. 应用VHDL语言编写十二进制计数器
  3. 所属分类:VHDL编程

  1. 7位二进制计数器

    0下载:
  2. 应用VHDL语言编写设计一个带计数使能、异步复位、同步装载的可逆七位二进制计数器,计数结果由共阴极七段数码管显示
  3. 所属分类:VHDL编程

  1. vhdl

    1下载:
  2. 包括一个8位D触发器、一个jk触发器、一个10的计数器。适合初学者和开发人员-Including an 8-bit D flip-flop, a jk flip-flop, a 10-counter. Suitable for beginners and developers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-07
    • 文件大小:1122
    • 提供者:龚成
  1. count10

    0下载:
  2. 用vhdl编写的十进制计数器,内部说明详细。-Prepared using VHDL decimal counter, the internal descr iption in detail.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:152593
    • 提供者:雪花
  1. a8254

    0下载:
  2. 自己编写的8254计数器/计时器,实现了所有的6种模式,和大家一起分享。-I have written 8254 counter/timer, realize all the six kinds of patterns, and the U.S. share.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4881921
    • 提供者:高超
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. VHDL16bitcouner

    0下载:
  2. 利用VHDL编写的一个简单的16位计数器-VHDL prepared using a simple 16-bit counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:179597
    • 提供者:jian
  1. jishuqi8421

    0下载:
  2. 用VHDL语言实现8421码的十进制计数器,状态变化0000->0001->0010->0011->0100->0101->0110->0111->1000->0000.循环往复。 -VHDL language with 8421 yards of the decimal counter, a state of change 0000-> 0001-> 0010-> 0011-> 0100-> 0101-&g
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:172968
    • 提供者:deng
  1. 2cout10

    0下载:
  2. 二位十进制计数器,详细的代码和仿真,并且有VHDL代码和原理图设计-2 decimal counter, the detailed code and simulation, and has VHDL code and schematic design of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:159477
    • 提供者:dingdus
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
  1. VHDL代码

    0下载:
  2. 实现简单的电子拔河比赛,即两按键模拟,计数器计数,比较器进行比较,最后通过LED灯进行直观显示(To achieve a simple tug of war competition, that is, two button analog, counter count, comparator comparison, and finally through the LED lamp for visual display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:1024
    • 提供者:很看好
  1. vhdl

    0下载:
  2. 10秒计数器模块VHDL源程序,在FPGA中实现计数器功能(10 seconds counter module VHDL source code, in FPGA realize counter function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1314816
    • 提供者:cainiaolaoda
  1. 计数器

    0下载:
  2. 简单的层次化电路实现计数器,带有清零功能(counter with clear function)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-16
    • 文件大小:5120
    • 提供者:tyne
  1. 16进制加减计数器

    0下载:
  2. 16进制加、减计数器,用两个数码管显示(0-15)(hex add/sub counter(show 0-15))
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-17
    • 文件大小:349184
    • 提供者:tyne
  1. VHDL实验程序

    0下载:
  2. 包含EDA基础实验程序,计数器、电子密码锁等设计程序(Including the EDA basic experiment program)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-06
    • 文件大小:7695360
    • 提供者:云青瓶
  1. 可逆计数器VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4,利用Xilinx ISE软件,利用VHDL软件编写可逆计数器,包含实验说明以及代码实现VHDL.doc文件,UCF管脚绑定文件(In the FPGA:Spartan-3E development board series, XC3S500E, package: FGT320, speed -4, using Xilinx ISE software, written in a reversible
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:12288
    • 提供者:lixilin
« 12 3 4 5 6 7 8 9 10 ... 21 »
搜珍网 www.dssz.com