CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Verilog HDL

搜索资源列表

  1. Verilog_HDl_Code

    0下载:
  2. 《精通Verilog HDL语言编程》中的Verilog实例源码-Verilog HDL Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:28316
    • 提供者:李成军
  1. ALUALUcontrol

    0下载:
  2. 实现32位的ALU,使其能够支持基本的指令。用Verilog HDL语言或VHDL语言来编写,实现ALU及ALU控制器。 -To achieve 32-bit ALU, so that it can support the basic directives. With the Verilog HDL language or VHDL language to write, implement ALU and the ALU controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1060421
    • 提供者:于伟
  1. 111

    0下载:
  2. Verilog语言编写的循环彩灯控制器 这个程序我已经在Actel板上烧过了,没问题。如果还有什么问题应该是你的板不同或者工具不同,我是在libero_8.5上做的 -VeriloG HDL IS VEVRY USEFUL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3943
    • 提供者:xinran
  1. Ringcounter

    0下载:
  2. ringcounter verilog HDL example code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:336301
    • 提供者:kim
  1. verilog_code

    0下载:
  2. 《Verilog HDL程序设计教程》程序源码(王金明)-" Verilog HDL Programming Tutorial" program source code (Wang Jinming)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:173081
    • 提供者:luxucheng
  1. paobiao

    0下载:
  2. 基于Verilog HDL的完整数字跑表工程,在试验机台上运行验证通过了的。 用8位7段数码管分别显示微妙,秒,分。 有开始,暂停,复位功能。 学习VerilogHDL的经典例子,添加了显示功能。-Complete Verilog HDL-based digital stopwatch works in the test machine is running verify pass the platform. With 8-bit 7-segment digital tube sho
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:571378
    • 提供者:alvin
  1. example

    0下载:
  2. 自己编写的经过QuartusII验证的Verilog HDL程序,可以实现常见功能-After QuartusII their written procedures for verification of the Verilog HDL, can achieve common features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5689
    • 提供者:shangyong
  1. verilog_seg7

    0下载:
  2. 买的Altra公司的一款Max II EPM1270T144的电路板,其中的一个用Verilog HDL 编写的驱动数码管的程序,完全可用。-Altra Inc. bought a Max II EPM1270T144 circuit board, one written in Verilog HDL using the digital controls process-driven, fully available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:301147
    • 提供者:Li xiaohu
  1. szdyb

    1下载:
  2. 基于Verilog HDL的数字电压表的程序-Verilog HDL-based procedures for the digital voltmeter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:540
    • 提供者:xyj
  1. countor

    0下载:
  2. This code for countor . it is design in verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1310
    • 提供者:Yogesh PAtel
  1. 82_Examples_for_VHDL_and_Verilog_code

    0下载:
  2. 包括VHDL、verilog在内的各种设计实例,是学习硬件描述语言的帮手。共有82个实验例子,涉及各种语法规则。-82 VHDL, verilog test case, involving a variety of grammatical rules. which is you learn the HDL language helper.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:81090
    • 提供者:M
  1. cardTEL

    0下载:
  2. 基于verilog-hdl的卡式电话电路,编译环境quartusII72,经下载仿真通过。-Verilog-hdl cassette based on telephone circuits, build environment quartusII72, has been downloaded by simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1355194
    • 提供者:潘萌
  1. synchronousSerialDataTransfer

    0下载:
  2. 周立功教科书上的同步串行传输verilog.hdl程序源码及工程文件,是用quartus ||综合过的了-synchronous serial data transfer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:163138
    • 提供者:朱红
  1. rs232

    0下载:
  2. 异步串行传输的verilog hdl 功能文件以及测试文件-The verilog hdl source and the testbench of asynchronous serial transmission
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:9995
    • 提供者:朱红
  1. HA

    0下载:
  2. Verilog HDL for Half Adder, Full Subtractor, Half Subtractor and 2x4 decoder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1347
    • 提供者:leo
  1. controller

    0下载:
  2. PI controller and its source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1709
    • 提供者:sanjivkumar
  1. digital_clock

    0下载:
  2. verilog hdl digital clk
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:691513
    • 提供者:hahaicome
  1. BULKFPGA

    0下载:
  2. 用quartus2编写的,Verilog HDL,测试BULK和FPGA通讯的程序-With quartus2 written, Verilog HDL, testing, and FPGA communication procedures BULK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:947448
    • 提供者:陈王峰
  1. 61EDA_D888

    0下载:
  2. 基于Verilog HDL出租车计费系统的研制-Based on Verilog HDL Taxi Accounting System
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:426029
    • 提供者:panda chen
  1. clock

    0下载:
  2. 用Verilog HDL编写的电子钟,实现一些简单功能,包括计时,调时-Written in Verilog HDL using electronic clock to achieve some simple functions, including timing, tone, when
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:672148
    • 提供者:liu
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com