CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - bcd

搜索资源列表

  1. final

    0下载:
  2. 频率计设计的各个模块连接的总程序,即把分频器、控制器、计数器、闸门控制、锁存器、显示器都连接起来,测试频率范围为:10Hz~100MHz 第一档:闸门时间为1S时,最大读数为999.999KHz 第二档:闸门时间为0.1S时,最大读数为9999.99KHz 第三档:闸门时间为0.01S时,最大读数为99999.9KHz。 用六位BCD七段数码管显示读数。-The various modules connected to the total program, frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:725
    • 提供者:李雪
  1. VHDL

    0下载:
  2. VHDL初级编程实例:动态扫描显示程序、分频器设计程序、8位移位寄存器、BCD计数器设计(任意进制)等等。-VHDL the primary programming examples: dynamic scanning display program, the divider design process, the 8-bit shift register, BCD counter design (any hex), and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:11445
    • 提供者:罗梵
  1. 8051-App1

    0下载:
  2. 十个数从小到大排序,十六进制字节数到BCD码的转换,一位十六进制数转换成ASCII码,子程序延时128ms程序-failed to translate
  3. 所属分类:SCM

    • 发布日期:2017-12-05
    • 文件大小:1467
    • 提供者:Jack Bian
  1. 12F675_RS232_DH11_Main

    0下载:
  2. This a basic bit banged RS232 using a PIC 12F675 to interface via I2C to the DH11 Temperature and Relative Humidity sensor via a RS232 terminal at 9600 baud. Also provides sample commands for reading and writing to the 12F675 s 256 byte EEPROM a
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-28
    • 文件大小:6412
    • 提供者:Bobzilla
  1. common

    0下载:
  2. 通用C语言,1:BCD转十进制,2:十进制转BCD,3:求数组平均值,4:数组排序-1:BCD to DEC.2:DEC to BCD.3:mean.4:sort
  3. 所属分类:SCM

    • 发布日期:2017-12-08
    • 文件大小:706
    • 提供者:刘宇飞
  1. 16h_BCD_exchange

    0下载:
  2. 十六进制数转BCD码,精典的郭天祥程序,写法精简巧妙,利用简单的移位来实现,占用系统资源少,运行实时性高,可靠性强-Hexadecimal number to BCD classical Debates program, streamlined way of writing clever, simple shift, occupy less system resources, run real-time high reliability
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-12-05
    • 文件大小:599
    • 提供者:lyang
  1. PS2code

    0下载:
  2. The PS2 scan code is displayed on BCD 7 segments display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:351060
    • 提供者:anutzu07
  1. AN_SPMC75_0204

    0下载:
  2. 利用SPMC75制作十六进制数据到BCD码转换,全带代码以及测试程序-Use SPMC75 production of hexadecimal data to BCD conversion, with code and testing procedures
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-01
    • 文件大小:89867
    • 提供者:菠菜
  1. BCD_7

    0下载:
  2. BCD-7段显示译码器设计 -BCD-7-segment display decoder design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:11164
    • 提供者:FloraChen
  1. CD4511a7447

    0下载:
  2. 数码管BCD解码驱动器CD4511与7447应用 基于80C51单片机Proteus仿真-Digital tube CD4511 BCD decoding drive and 7447 applications, Based on 80 c51 Proteus simulation
  3. 所属分类:SCM

    • 发布日期:2017-11-20
    • 文件大小:23305
    • 提供者:bellasina
  1. BCD_ALU

    1下载:
  2. bcd码的ALU单元,包含全加、全减、乘法、除法器-bcd code ALU unit, including All-Canadian, all subtraction, multiplication, division, unit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:52081
    • 提供者:georgeniu
  1. 430-SHILICX

    0下载:
  2. 目录:1. 堆栈时钟初始化 2. RAM自测子程序 3. 二进制转化为BCD码(二进制16位(65536)放R15,结果放R4,R5) 4. BCD码转化为二进制(BCD码放R4,二进制放R5) R5=XO+10*(X1+10*(X2+10*X3)) 5. BCD码转化为二进制(BCD码放R4,二进制放R5)R5=XO+10*X1+100*X2+1000*X3 6. 冒泡排序法(适合20个数字以下) 7. X=a^+b^(a为2个字节,b为2个字节,X为3个字
  3. 所属分类:SCM

    • 发布日期:2017-12-06
    • 文件大小:3530
    • 提供者:fzgh
  1. MSP430--16X16

    0下载:
  2. 目录:1. 堆栈时钟初始化 2. RAM自测子程序 3. 二进制转化为BCD码(二进制16位(65536)放R15,结果放R4,R5) 4. BCD码转化为二进制(BCD码放R4,二进制放R5) R5=XO+10*(X1+10*(X2+10*X3)) 5. BCD码转化为二进制(BCD码放R4,二进制放R5)R5=XO+10*X1+100*X2+1000*X3 6. 冒泡排序法(适合20个数字以下) 7. X=a^+b^(a为2个字节,b为2个字节,X为3个字
  3. 所属分类:SCM

    • 发布日期:2017-11-18
    • 文件大小:538
    • 提供者:fzgh
  1. CAL

    0下载:
  2. 基于BCD码的十进制ALU设计,可实现加减乘除的功能-BCD to decimal ALU based design can achieve the arithmetic function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:16868
    • 提供者:任毅
  1. BCD_divid_new

    0下载:
  2. VHDL语言编写的8位BCD除法器,可以实现浮点数计算,只支持正数运算,并用isim进行仿真-VHDL language 8 BCD division, can achieve floating-point calculations, which only supports a positive number arithmetic, and use isim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:524842
    • 提供者:liudongzhu
  1. BCD_subtracter

    0下载:
  2. VHDL编写的7位BCD减法器,可实现带小数点减法运算。-VHDL, 7 BCD subtraction, which can be achieved with a decimal point subtraction.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:866481
    • 提供者:liudongzhu
  1. Lab2_Part1

    0下载:
  2. display BCD code(0-9) using 7-segment displays in verilog code. Implements on educational kit Altera MAX7000s EPM7128SLC84-7.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:25218
    • 提供者:Henna Tan
  1. Lab2_Part2

    0下载:
  2. converts a 4-bit binary code to 2-digital BCD code in verilog code. Implements on educational kit Altera MAX7000s EPM7128SLC84-7.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:112777
    • 提供者:Henna Tan
  1. wenshidu

    0下载:
  2. 温湿度传感器DH11+程序(以SF板为例)[包含单字节二进制转换BCD码程序]-Temperature and humidity sensor DH11+ program (using the SF board, for example) [containing single-byte BCD code binary conversion process]
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1067645
    • 提供者:zhiyun
  1. VHDL

    0下载:
  2. VHDL小程序,其中包含了bcd码转换成格雷码、寄存器的简单设计(并入串出移位寄存器、串入串出移位寄存器)以及脉冲发生器的VHDL实现。适合于基础的VHDL入门。-VHDL small program, which includes a bcd code into Gray code, register for a simple design (String into a shift register, the string into the string out of the shift re
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:304120
    • 提供者:鸿雨
« 1 2 ... 17 18 19 20 21 2223 24 25 26 27 »
搜珍网 www.dssz.com