CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - can verilog

搜索资源列表

  1. echo_dj

    1下载:
  2. verilog写的回波抵消程序,相当于写了个回波抵消的芯片,不是dsp,可编译后下载于FPGA,绝对原创,写了很长时间。-Verilog echo canceller written procedures, wrote the equivalent of echo canceller chip, not dsp, can be downloaded from the compiled FPGA, absolute originality, writing for a long time.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:4629
    • 提供者:丁谨
  1. FIFO

    0下载:
  2. 一个可以综合的Verilog 写的FIFO存储器 内附文档说明-a comprehensive Verilog can write FIFO memory attached document shows
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:14894
    • 提供者:wutailiang
  1. CAN_IPCore

    0下载:
  2. CAN_IPCore CAN协议的IP核源代码 verilog 语言
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:61430
    • 提供者:maliang
  1. can_rtl_verilog.tar

    0下载:
  2. can控制器的verilog语言实现 (还要更多的说明语言了吗?我不知道该写什么了)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:34901
    • 提供者:郑国栋
  1. can_IPCORE

    0下载:
  2. CAN总线IPCORE,采用Verilog HDL语言实现。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:61391
    • 提供者:feifei
  1. pn_code

    0下载:
  2. 系数为4的扰码生成器,并每四位扰码产生一个触发串并转换的触发信号,可用于4b/5b编码的触发信号。verilog程序,带test程序-coefficient of the four scrambler generator, and every four scrambler have triggered a string conversion and the trigger signal can be used to trigger 4b/5b coding signal. Verilog pro
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36602
    • 提供者:高广鹤
  1. 9.16 fifoasi

    0下载:
  2. 主要完成数字电视前端信号处理和缓冲作用的verilog源代码,可以直接使用 -the major digital TV front-end signal processing and buffer the Verilog source code can be used directly
  3. 所属分类:VHDL编程

    • 发布日期:2012-12-25
    • 文件大小:2761622
    • 提供者:yjb_21cn
  1. uart_verilog

    0下载:
  2. 包含UART口的VERILOG源程序,该程序在FPGA上验证通过,可作为芯片设计,或FPGA设计的一个完整IP核,硬件设计的兄弟们可参考一下。-include UART port of VERILOG source, the program tested in FPGA, as chip design, or FPGA design of a complete IP cores, hardware design brothers can make reference.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9682
    • 提供者:施向东
  1. fpga1394

    0下载:
  2. 这是一段控制1394芯片的cpld的verilog程序,可以参考,在实际项目中已经采用.-This is a control chip cpld 1394 Verilog the procedures, they can refer to the actual project has been adopted.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3394
    • 提供者:吴才路
  1. uP

    0下载:
  2. 这是8位微处理器的Verilog源代码,可以欠在Flex10k10里面-This is the 8-bit microprocessor Verilog source code, can they owed in Flex10k10
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:95098
    • 提供者:李无志
  1. two_d_dct_serial

    1下载:
  2. altera公司提供的适用于包涵DSP内核的FPGA的二维DCT变换源码,语言是:verilog 性能不错,不过资源消耗有点大,可以用来学习多项式变换的DCT算法-ALTERA companies covered in the application of FPGA DSP core 2D DCT source language is : Verilog performance is good, but a bit large consumption of resources can be us
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:24588
    • 提供者:猪猪
  1. risc_cpu

    0下载:
  2. 这是一个Verilog HDL编写的RISC cpu的程序,该程序共10个子程序,实现了简单的RISC cpu,可供初学者参考,学习硬件描述语言,及设计方法。该程序通过了modelsim仿真验证。-This is the RISC cpu code which writed by Verilog HDL.This code has ten subprogram which came true the simple RISC cpu. Beginner can reference this e
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44088
    • 提供者:施向东
  1. 数据结构c描述习题集答案

    0下载:
  2. 减1计数器 一、设计要求 用Verilog HDL语言设计一个计数器。 要求计数器具有异步置位/复位功能,可以进行自增和自减计数,其计数周期为2^N(N为二进制位数)。 二、设计原理 输入/输出说明: d:异步置数数据输入; q:当前计数器数据输出; clock:时钟脉冲; count_en:计数器计数使能控制(1:计数/0:停止计数); updown:计数器进行自加/自减运算控制(1:自加/0:自减); load_d-a counter a reduction, design requirem
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:112206
    • 提供者:tutu
  1. system 完成远程通信的整体任务

    0下载:
  2. Verilog,QuartusII可正确运行,可下载到FPGA上,完成远程通信的整体任务,PC发数据,键盘输入运算符与运算数计算将结果显示在数码管上并返回给PC机,需异步串口调试软件-Verilog, QuartusII run correctly, can be downloaded to the FPGA, to complete the overall task of remote communication, PC send data, keyboard operators and op
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-27
    • 文件大小:901977
    • 提供者:薛芬
  1. mutl16 实现16位移位乘法和除法

    1下载:
  2. 实现16位移位,可以实现乘法和除法。满足设计要求,实现代码简短,用verilog完成方便,容易操作。-Achieve 16-bit shift, multiplication and division can be achieved. Meet the design requirements to achieve a short code, complete with verilog convenient, easy to operate.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-26
    • 文件大小:1579
    • 提供者:吴海勇
  1. qiangdaqi.rar

    0下载:
  2. 用verilog编写的抢答器,当主持人宣布“开始比赛”,系统初始化,选手进入“抢答状态”。当某一选手首先按下抢答开关时,相应的指示灯亮,此时抢答器不再接受其他输入信号。电路具有累计分控制(分别用4个4位选手的积分——十六进制数),由主持人控制“加分”。“加分”加分完毕,开始下一轮抢答。电路还可以设有回答问题时间控制。 ,Answer using Verilog prepared, and when the host announced the " start game" , t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-27
    • 文件大小:1103470
    • 提供者:
  1. dual_RAM.rar

    0下载:
  2. actel fusion startkit FPGA开发板试验例程,可实现2k8的双口ram,实现数据存储,缓冲。包含verilog HDL 语言源码,actel fusion startkit FPGA development board test routines, can be realized 2k8' s dual-port ram, achieving data storage, buffer. Language source code contains the verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:608143
    • 提供者:zhangyujun
  1. I2S

    3下载:
  2. 用verilog实现的 I2S 源码,可以直接通过Quartus运行-I2S implementation by verilog source code can be run directly through the Quartus ~ ~
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-23
    • 文件大小:673844
    • 提供者:张哲
  1. VGA_FPGA

    0下载:
  2. 我用FPGA verilog语言写的VGA显示程序,是我做的一个课程设计,在显示器上显示我的学号20082831.当然也可以改的,里面有三个文件,一个是头文件。-FPGA verilog language written with VGA display program, I do a course design, displayed on the monitor my student number 20082831. Of course, can be changed, there are t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:400745
    • 提供者:张亮
  1. cpu-kongzhi

    0下载:
  2. 1. 实现能够执行R型、LW、SW、BEQ以及J指令的单时钟控制器,使其能够支持基本的指令。 2. 用Verilog HDL实现单时钟CPU控制器,在ISE上进行波形仿真,并在FPGA上实现。-1. Implementations can perform R-type, LW, SW, BEQ, and J instruction every clock controller, to enable them to support the basic directives. 2 single-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1263
    • 提供者:dino
« 1 2 ... 18 19 20 21 22 2324 25 26 27 28 ... 50 »
搜珍网 www.dssz.com