CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - can verilog

搜索资源列表

  1. 用verilog语言编写的按键控制流水灯实验程序

    1下载:
  2. 用verilog语言编写的按键控制流水灯实验程序。通过3个按键可以分别控制流水灯的亮灭、左移、右移。压缩包内也包含此按键控制流水灯实验程序的modelsim仿真文件。-Verilog language with control buttons light water experimental procedure. By three buttons can control the light water lights off, left, right. This archive also cont
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:190189
    • 提供者:广子
  1. Source.rar

    0下载:
  2. PWM Verilog源代码,可以通过仿真测试,PWM Verilog source code, can be tested through simulation
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:2464
    • 提供者:shuichengwen
  1. SPIsend.rar

    0下载:
  2. Verilog HDL的程式,上網找到SPI程式, vspi.v這程式相當好用可用來接收與傳送SPI,並且寫了一個傳輸信號測試,spidatasent.v這程式就是傳送的資料,分別為00 66... 01 77...... 02 55這樣的資料,並透過MAX+PULS II軟體進行模擬,而最外層的程式是test_createspi.v!,Verilog HDL programs, Internet find SPI program, vspi.v this very useful progra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:146157
    • 提供者:Rick
  1. parallel_to_serial.rar

    0下载:
  2. 一个并行转串行的verilog源程序,可以讲12位并行数据转换为一个串行数据,A parallel to serial verilog source code you can transfer your parallel data to serial data.you have 12bits parallel data then you will have a serial data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:153961
    • 提供者:梅博
  1. saa7113.rar

    0下载:
  2. saa7113配置,verilog语言写的 挺好的,直接可以加入,saa7113 configuration, verilog language of good and can be added directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:17153
    • 提供者:敬亮
  1. lab.rar

    0下载:
  2. verilog hdl经典例程,全部调试通过,verilogHdl example,all can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3980199
    • 提供者:品十六国
  1. CAN_IP.rar

    2下载:
  2. 这是CAN总线控制器的IP核,源码是由Verilog HDL编写的。其硬件结构与SJA1000类似,满足CAN2.0B协议。,This is a IP core of the CAN bus controller written by the Verilog HDL. whose structure is similar with SJA1000,supporting the protocol of CAN2.0B.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:61275
    • 提供者:普林斯
  1. ADC0832_test.rar

    0下载:
  2. ADC0832是一个8-bit的ADC转化芯片,工作频率为250Khz,最大频率可达400Khz,转化通道有两个,输入电压可分有单端或差分形式。本测试使用单端电压输入形式,从昔年的CH0输入电压,使用Xilinx XC3S200AN开发板,并且使用Xilinx ise工具中的ChipScope工具来查看转化后的DO数据是否正确。经验证,输入电压范围是0V--5.5V,当电压达到5.5V时,满刻度.,ADC0832 is an 8-bit conversion of the ADC chip, t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3628113
    • 提供者:zhangjiansen
  1. i2c_ip.zip

    1下载:
  2. I2C的ip核,Verilog实现,可以直接用在你的项目中。I2C是一种简单实用的通讯协议。,I2C' s ip nuclear, Verilog realization, you can directly use in your projects. I2C is a simple and practical protocol.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-01
    • 文件大小:2208070
    • 提供者:caibaiyin
  1. Verilog_VGA.rar

    0下载:
  2. 程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色,可以使用嵌入式逻辑分析仪观测信号。,Procedures for the realization of the function is displayed on the monitor in the VGA color stripes, a total of eight kinds of colors, you can use the embedded logic analyzer signal observation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1127875
    • 提供者:chalin tong
  1. DW8051.rar

    2下载:
  2. Synopsys 公司的DW8051源代码,用verilog编写的,代码很完整,可以仿真,对采用8051核做嵌入式的人很有帮助,Synopsys company DW8051 source code, written with Verilog, the code is complete, can be simulated using 8051 nuclear helpful people who do Embedded
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-14
    • 文件大小:68464
    • 提供者:jy
  1. adc0804_new.rar

    0下载:
  2. AD0804驱动,使用新的查表方式,可大大的降低数值运算,节省CPLD的资源,AD0804 driver,using a new method_look up table,which can save a lot of resources of CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2534
    • 提供者:漫漫
  1. fifo_32_4321.rar

    0下载:
  2. 用verilog写的输出数据宽度可变的FIFO,输入数据为32-bit,输出数据可以配置为4-1任意bit。有设计文件和testbench,Use verilog to write a variable width of the output data FIFO, input data for the 32-bit, output data can be configured as 4-1 arbitrary bit. There are design files and testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5198
    • 提供者:keven
  1. MIPS

    2下载:
  2. 组成原理大作业--基于MIPS的运算器设计,内附详细设计文档,包含设计文档和使用手册,主程序,测试程序,还有设计的框图等。实现了可以执行基本的MIPS有关运算器相关的指令共17条,用Verilog编写。-Composition Principle big operation- based on the MIPS computing design, containing a detailed design document, including design documentation and u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-12-14
    • 文件大小:3060536
    • 提供者:da
  1. canbus

    0下载:
  2. CAN总线的FPGA实现,用Verilog编写,代码完整,而且有很完善的测试代码,用ISE直接打开,学习FPGA进阶的好项目-CAN Bus FPGA, written with Verilog, code integrity, but also very good test code, using ISE directly open, a good project to learn advanced FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:862994
    • 提供者:张小琛
  1. fir_lms

    0下载:
  2. 一个不错的关于lms算法的verilog代码,算然只有两级,但是对了解lms用HDL描述有很好的理解作用。希望对大家有用~-A good lms algorithm on the verilog code, development environment, I can not find, even if the vhdl it! We hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1334
    • 提供者:onion
  1. 8051IP_Verilog

    0下载:
  2. 8051核,verilog实现。可以直接用在FPGA中,在此基础上可以和用真正的8051一样的进行单片机的学习。-8051 Nuclear, verilog achieve. Can be directly used in the FPGA, in this basis can be used as the real conduct of the 8051 single-chip learning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:310012
    • 提供者:caibaiyin
  1. DDR3

    0下载:
  2. DDR3控制器,基于Altera平台,修改管教后直接可以下载进PFGA-DDR3 controller, based on Altera platform, modify the discipline can be downloaded directly into the PFGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:559502
    • 提供者:andy
  1. rs232

    0下载:
  2. 完整的RS232 Verilog源代码,支持波特率可调,支持调试命令,配合串口调试工具,可作为FPGA开发中的调试平台。-Full RS232 Verilog source code, support for baud rate is adjustable to support debugging command, with the serial debugging tools can be used as the debugging FPGA development platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:13350
    • 提供者:弘历
  1. ssram

    1下载:
  2. 同步静态RAM读写程序,可用作模块,已通过ISE12.4验证-Synchronous Static RAM read and write procedures, can be used as modules, have been verified by ISE12.4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1515
    • 提供者:koo
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com