CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - fpga D

搜索资源列表

  1. wave_gen

    0下载:
  2. 波形发生器,带TESTBENCH, 多平台 -- the design makes use of the new shift operators available in the VHDL-93 std -- this design passes the Synplify synthesis check -- download from: www.fpga.com.cn & www.pld.com.cn -waveform generator, with TESTBENCH.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1184
    • 提供者:罗兰
  1. pingpufx

    0下载:
  2. 本设计以凌阳16位单片机SPCE061A为核心控制器件,配合Xilinx Virtex-II FPGA及Xilinx公司提供的硬件DSP高级设计工具System Generator,制作完成本数字式外差频谱分析仪。前端利用高性能A/D对被测信号进行采集,利用FPGA高速、并行的处理特点,在FPGA内部完成数字混频,数字滤波等DSP算法。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:258459
    • 提供者:郑坤
  1. expt84_dac2adc

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA比较器和D/A器件实现
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:15153
    • 提供者:多幅撒
  1. expt12_5_rsv

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA采样高速A/D的存储示波器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:58431
    • 提供者:多幅撒
  1. exp1

    0下载:
  2. 基于FPGA的A/D转换 可以用quartusII仿真
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:5273942
    • 提供者:likun
  1. work3CNT4BDECL7S

    0下载:
  2. 7段数码显示译码器设计7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的,为了满足十六进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。例子作为七段译码器,输出信号LED7S的7位分别接数码管的7个段,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别接1、1、0、1、1、0、1;接有高电平的段发亮
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:83420
    • 提供者:lkiwood
  1. AD-Based_on_FPGA.rar

    0下载:
  2. 使用VHDL语言编写的A/D转换程序,可在FPGA平台使用,VHDL language used A/D conversion process can be used in the FPGA platform
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-04
    • 文件大小:58381
    • 提供者:东风
  1. pal_vedio

    3下载:
  2. 基于FPGA的pal制模拟视频显示程序,verilog Hdl-pal-d vedio display fpga verilog
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-10
    • 文件大小:1365
    • 提供者:wushj
  1. FPGA_AD7822

    0下载:
  2. 基于FPGA的AD转换控制器设计,AD7822,quartus II,verilog hdl-A Design of the A/D Convertion Control Module Based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:57810
    • 提供者:sxy
  1. bridge

    0下载:
  2. FPGA和A/D转换芯片ad7862的IP,可实现4路数据的采样和读取。 用verilog实现的-FPGA and the A/D conversion chip ad7862' s IP, can achieve 4-way data sampling and reading. Achieved with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2658
    • 提供者:sss
  1. DCM

    0下载:
  2. xilinx SP605开发板的DCM模块验证程序,coreGen工具生成DCM核,由DCM完成时钟分频、倍频、移相等操作-xilinx SP605 development board DCM module validation program, coreGen tool to generate nuclear DCM, completed by the DCM clock divider, frequency, and shift operations equal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:2599936
    • 提供者:wangyu
  1. ADconverter

    0下载:
  2. 基于FPGA的A_D转换采样控制模块的设计-A Design of the A/D Convertion Sampling Control Module Based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:209368
    • 提供者:sunlei
  1. DMA_8237A

    1下载:
  2. 经典DMA控制器8237A的VHDL设计,对设计DMA控制器有很高的参考价值。-Classic DMA controller 8237A of the VHDL design, the design of the DMA controller has a high reference value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:11957
    • 提供者:neversee
  1. divide_vl

    0下载:
  2. d这是一个分频器,因为在FPGA中,为了能够得到比较少的频率,通常用分频器来完成-can divice the frequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:139735
    • 提供者:梁永安
  1. caiyang

    0下载:
  2. 种用FPGA 实现对高速A/ D 转换芯片的控制电路,系统以MAX125 为例,详细介绍了含有FIFO 存储器的A/ D 采样控制电路的设计方法,并给出了A/D 采样控制电路的V HDL 源程序和整个采样存储的顶层电路原理图.-Species with FPGA to achieve high-speed A/D conversion chip control circuit, the system as an example to MAX125 details FIFO memory cont
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:338675
    • 提供者:于银
  1. DDS

    1下载:
  2. 基于Verilog的dds设计,已经经过调试,可直接使用-Dds of Verilog-based design, has been testing can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2041510
    • 提供者:郭帅
  1. FPGA_TLV5619_SIGNALTAPII

    0下载:
  2. FPGA_TLV5619_SIGNALTAPII,FPGA控制D/A转换器TLV5619,并用SIGNALTAP II分析数据波形!属于FPGA高端调试仿真应用。-FPGA_TLV5619_SIGNALTAPII, FPGA control D/A converter TLV5619, and waveform analysis of data SIGNALTAP II! Simulation are debugging the application of high-end FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1956050
    • 提供者:wangzhaohui
  1. Modelsim_QA

    0下载:
  2. modelsim的一些问题集锦,对于从事FPGA的研发人员很有帮助-Collection of some of the problems modelsim for personnel engaged in R & D FPGA helpful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:779593
    • 提供者:fu
  1. test_ADC

    1下载:
  2. verilog 数模转换程序,包括AD与DA,AD能够对于波形的数值进行输出,使用的是ego1开发板(transition of A/D signal)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-01-11
    • 文件大小:12637184
    • 提供者:白珑
  1. FPGA等精度频率计

    0下载:
  2. 先预置一个闸门信号,将该闸门信号作为D触发器的输入端,将被测信号作为D触发器的时钟,当闸门信号有效的时候(即从0到1的时候),在被测信号的上升沿来临的时候,闸门信号被送到D触发器的Q端口。D触发器的Q端口分别连接两个计数器,一个计数器对基准时钟计数(板子上的50M时钟或者用锁相环倍频后的高速时钟),另一个计数器对被测信号计数。当闸门信号有效被送到Q端口的时候,使能这两个计数器进行计数,当基准时钟计数到1s的时候,闸门信号拉低,无效(产生时间宽度为1s的闸门),计算这1s的时间内,被测信号计数了多
  3. 所属分类:VHDL编程

« 1 2 34 5 6 »
搜珍网 www.dssz.com