CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - fsm

搜索资源列表

  1. Qcalc

    0下载:
  2. 一种基于FSM框架的计算器状态机源码,非常有利于大家对状态机的理解-status machin
  3. 所属分类:DSP program

    • 发布日期:2017-04-25
    • 文件大小:209977
    • 提供者:hmorey
  1. t3_sdram

    0下载:
  2. 完成sdram读写操作,并附有测试脚本文件,已通过后仿验证。该程序主要包括上电初始化模块,刷新模块,读、写模块等,并采用FSM控制所有模块,完成数据的读写操作-Sdram read and write operations to complete, with a test scr ipt file has been verified through simulation. The program includes power-on initialization module, refresh m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7437768
    • 提供者:宋国志
  1. fsm

    0下载:
  2. 有限状态机的一种实现框架,更健壮,更易于表达。-An implementation framework of finite state machines, more robust and easier to express.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:324353
    • 提供者:yyd
  1. fsm

    0下载:
  2. verilog四状态状态机 带异步清零端和测试向量 mealy型状态机 很好用哦 -verilog four state machine with asynchronous clear end and test vectors mealy-type state machine oh well
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:315669
    • 提供者:普通场
  1. FSM

    0下载:
  2. it explains how to write the statemachins
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:752
    • 提供者:buted
  1. CoG

    0下载:
  2. Semi-functional FSM and ROM for Xilinx CPLD to drive ST7565R based off Digikey example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1069349
    • 提供者:Mac
  1. UpDownCounter_FSM

    0下载:
  2. This code is an Up Down Counter in FSM using Verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6510
    • 提供者:Patrick Go
  1. seg

    0下载:
  2. 可以很好学习的学习状态机!学习逻辑能力,提高自己的代码书写能力!-FSM study,if you like study vhdl,you could download this zip to study
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1558806
    • 提供者:王辉
  1. Exp15_FSMKEY

    0下载:
  2. 基于STM8S105C6T6 的FSM按键扫描,完全状态机,支持 按下 长按 连发 抬起,最多256个按键。超小RAM-STM8S105C6T6 of FSM based key scanning, complete state machine, press the press and bursts of support to lift up to 256 keys. Ultra-small RAM
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-25
    • 文件大小:49404
    • 提供者:赵磊
  1. avr_keypad

    0下载:
  2. 1.基于FSM(有限状态机)扫描独立按键,并带 长按 功能; 2.基于FSM扫描4*4矩阵键盘。-1. Based on FSM (finite state machine) scan separate buttons, and with a " long press" function 2. Based on the FSM 4* 4 matrix keyboard scanning.
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3621
    • 提供者:vergil.li
  1. lab7_2_new

    0下载:
  2. 移动信息工程学院实验课程源码:用FSM实现soda_machine(自动售货机)-Use verilog to implemwnt a soda_machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1965029
    • 提供者:Wangchy
  1. lab9_2

    0下载:
  2. 用verilog实现更高级的交通灯:增加游行模式。实质上是对米粒状态机的掌握-An implementation in verilog on Mealy FSM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:481866
    • 提供者:Wangchy
  1. fsm

    0下载:
  2. 三段式状态机的典型写法,verilog实现-The three section type of typical state machine method, Verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1050
    • 提供者:mxc
  1. autosell

    0下载:
  2. 自动售货机程序,以Verilog三段式描述方法描述有限状态机FSM,编译及输出正常-Vending machine program, describe the method described in Verilog three-finite state machine FSM, compile and output normal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:636
    • 提供者:Tom xue
  1. fifo

    0下载:
  2. FIFO FSM Implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1351
    • 提供者:mt
  1. FSM

    0下载:
  2. 序列检测器,采用有限状态机实现,检测特定序列“101011”- Sequence detector, finite state machine, detection of a specific sequence 101011
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:990
    • 提供者:赵健
  1. FSM

    0下载:
  2. 序列检测器,采用移位寄存器实现,检测特定序列“101011”-Sequence detector using a shift register implementation, detection of a specific sequence 101011
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:914
    • 提供者:赵健
  1. Mojo-FSM

    0下载:
  2. Finit state machine proce-Finit state machine process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:270986
    • 提供者:Sat
  1. fsm

    0下载:
  2. verilog语言,有限状态机实现的序列检测器-verilog language, finite state machine sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1061
    • 提供者:
  1. image_ver_main

    0下载:
  2. The design of multi level sensor is mostly based on FSM controller-The design of multi level sensor is mostly based on FSM controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:383799
    • 提供者:kalyan
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com