CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - lcd1602 vhdl

搜索资源列表

  1. lcd_vhdl2

    0下载:
  2. 用VHDL来实现LCD1602的驱动(实验过)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1300
    • 提供者:唐小勇
  1. LCDTest

    0下载:
  2. 用VHDL控制LCD1602的源程序,在实际电路中测试通过。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2645
    • 提供者:尹邦坤
  1. VHDLLCD1602

    0下载:
  2. 这个是VHDL语言编写的LCD1602程序@
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:547109
    • 提供者:杨相柱
  1. VHDL1602

    0下载:
  2. 这个是VHDL语言编写的LCD1602程序@
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:546977
    • 提供者:杨相柱
  1. LCD1602shizhong

    0下载:
  2. 基于FPGA设计的1602显示的时钟,分为几个模块,VHDL语言-FPGA-based design 1602 show the clock, is divided into several modules, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:642686
    • 提供者:谭海龙
  1. 1602A-VHDL

    0下载:
  2. --利用FPGA驱动LCD显示中文字符"年"的VHDL程序。 --文件名 :lcd1602.vhd。 --功能 : FGAD驱动LCD显示中文字符"年"。-- Using FPGA-driven LCD display Chinese characters " year" VHDL program.- File Name: lcd1602.vhd.- Function: FGAD drive LCD display Chinese characters " ye
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1243
    • 提供者:王达
  1. VHDL-LCD1602

    0下载:
  2. VHDL LCD1602 的源程序 需要的 可以下 VHDL LCD1602 的源程序 需要的 可以下 -VHDL LCD1602 need the source code of the source can be required under the VHDL LCD1602 can be the next
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10447
    • 提供者:张卓
  1. LCD1602

    0下载:
  2. LCD1602控制器,液晶显示控制模块。VHDL代码。comment是自己加的-LCD1602 controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1610
    • 提供者:车雪蓉
  1. LCD1602-display-nian

    0下载:
  2. 这个程序可以显示汉字年 使用的液晶模块是1602 编程语言是VHDL-This program can display the Chinese characters used in LCD module is 1602 programming language is VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1327
    • 提供者:朱振军
  1. uart_lcd1602

    0下载:
  2. 点亮altera公司DE2代开发板的1602液晶,采用niosII方法。-Light the LCD1602 of the altera DE2 board with the niosII method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9950918
    • 提供者:王郑帼
  1. FPGA_ps2_lcd

    1下载:
  2. FPGA实现 LCD1602 显示 PS/2 键盘的键值,熟悉并掌握液晶 1602 显示屏的使用方法及PS/2键盘的接口标准,学习利用Verilog-HDL语言编写有限状态机实现较为复杂的设计与应用。-LCD1602 FPGA realizing that the PS/2 keyboard keys, familiar with and master the use of liquid crystal display 1602 method and PS/2 keyboard interfac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-16
    • 文件大小:81920
    • 提供者:liu
  1. lcd1602

    0下载:
  2. 用VHDL写的液晶显示程序,液晶模块为LCD1602,有注释-LCD program, written in VHDL LCD module LCD1602, annotated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1746795
    • 提供者:ksing
  1. LCD1602

    0下载:
  2. VHDL实现LCD1602显示,实现平台XC3S500E-VHDL LCD1602 display platform XC3S500E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:462509
    • 提供者:王磊
  1. fpga-vhdl-lcd1602

    0下载:
  2. fpga 1602测试程序 vhdl语言-fpga 1602测试程序
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-31
    • 文件大小:1052198
    • 提供者:王刚
  1. LCD1602

    0下载:
  2. 在DE2_70开发板上运用VHDL语言实现LCD显示,适用于初学VHDL的一般人群-The use of VHDL language in DE2_70 development board LCD display, suitable for beginners VHDL general population
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:543531
    • 提供者:王杏歌
  1. 液晶lcd1602控制程序vhdl代码

    0下载:
  2. 非常常见的液晶lcd1602控制程序,vhdl代码,可用于FPGA开发使用-Very common LCD lcd1602 control procedures, vhdl code, can be used to develop the use of FPGA
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-04-14
    • 文件大小:3004
    • 提供者:edthe
  1. LCD-1602

    0下载:
  2. 基于vhdl的lcd1602的显示程序,-Based on the lcd1602 vhdl display program,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:73110
    • 提供者:刘诗男
  1. LCD1602

    0下载:
  2. 使用VHDL编写的LCD1602驱动程序。-use VHDL to implement LCD1602 driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:134790
    • 提供者:林铎
  1. lcd1602

    0下载:
  2. FPGA VHDL LCD1602驱动,已验证-FPGA VHDL LCD1602 driver, verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1140
    • 提供者:hoo
  1. LCD1602

    0下载:
  2. LCD1602液晶 用VHDL语言写的显示字符串-LCD1602 LCD with VHDL language to write the display string
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3224663
    • 提供者:赵嘉楠
« 1 23 4 »
搜珍网 www.dssz.com