CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - verilog projects

搜索资源列表

  1. FPGApro

    0下载:
  2. VERILOG HDL 实际工控项目源码 开发工具 altera quartus2-verilog HDL actual industrial projects source development tools altera quartus2
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1229312
    • 提供者:zc
  1. Sdram_Control_4Port.Verilog写的sdram的控制器

    2下载:
  2. 已经验证可用。此代码为Verilog写的sdram的控制器,可以由用户的使用而加载到自己的项目中自行开发。,Have verified that is available. This Verilog code written sdram controller, can be loaded into the user' s use of their own self-developed projects.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-27
    • 文件大小:15761
    • 提供者:lyd
  1. i2c_ip.zip

    1下载:
  2. I2C的ip核,Verilog实现,可以直接用在你的项目中。I2C是一种简单实用的通讯协议。,I2C' s ip nuclear, Verilog realization, you can directly use in your projects. I2C is a simple and practical protocol.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-01
    • 文件大小:2208070
    • 提供者:caibaiyin
  1. VHDL语言实现的arm内核

    1下载:
  2. 5个ram核,arm6_verilog,arm7_verilog_1,arm7_VHDL,Core_arm_VHDL,nnARM01_11_1_3 arm6_verilog.rar 一个最简单的arm内核,verilog写的,有点乱 arm7_verilog_1.rar J. Shin用verilog写的arm7核心,结构良好,简明易懂 nnARM01_11_1_3.zip.zip nnARM开源项目,国防科技大学牛人ShengYu Shen写的,原来放在opencores上,
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-29
    • 文件大小:1152489
    • 提供者:YeZiqiang
  1. FIFO_Buffer

    0下载:
  2. Verilog的FIFO源代码,可综合,并以运用到具体工程中-Verilog source code of the FIFO can be integrated and applied to specific projects
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:585
    • 提供者:david
  1. verilogprojects

    0下载:
  2. file is about verilog projects
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-06-14
    • 文件大小:22462858
    • 提供者:sant
  1. 8051core-Verilog

    0下载:
  2. 利用VerilogHDL语言,编程实现8051单片机的功能,在FPGA的工程中有广泛的应用-Use VerilogHDL language programming 8051 microcontroller functions in FPGA projects in a wide range of applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:52106
    • 提供者:luosheng
  1. rs232

    0下载:
  2. 本设计是PC和FPGA的串口通信的程序,用的是VERILOG语言,调试成功,用户可根据自己的项目稍作改动。-The design is a PC and the FPGA' s serial communication procedures, using a VERILOG language, debugged, the user can make a little change according to their own projects.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2480
    • 提供者:陆景鹏
  1. CircuitAnalysisDemystified

    0下载:
  2. i want the projects on verilog please post them
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1468438
    • 提供者:pavan
  1. 8X8LED_verilog_fpga

    0下载:
  2. 8*8的LED 用VERILOG 写的FPGA,程序,这可是用在最近的项目中,下载用在最近的项目中,请标明出处!-8* 8 LED written with VERILOG FPGA, procedures, and this is used in a recent project, download used in recent projects, please credit!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:262333
    • 提供者:曾古与
  1. waveform

    0下载:
  2. Verilog HDL数字系统设计项目,频率可调的任意波形发生器,可以输出正弦波、方波、三角波和反三角四种波形-Verilog HDL digital system design projects, adjustable frequency arbitrary waveform generator can output sine wave, square wave, triangle wave and the anti-triangular four waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2274171
    • 提供者:saln
  1. project1_supplemental1

    0下载:
  2. these are projects based on verilog like memory control, sdram control etc-these are projects based on verilog like memory control, sdram control etc..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1764780
    • 提供者:neeraj
  1. Digital-VLSI-Systems-Design.pdf

    0下载:
  2. A Design Manual for Implementation of Projects on FPGAs and ASICs Using Verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:23644717
    • 提供者:Ali
  1. ds18b20

    0下载:
  2. 在altera的FPGA上使用ds18b20温度传感器制作数字温度计,并用数码管显示。使用的语言为verilog,包含全部工程与文件,可以直接使用。-Making use of DS18B20 digital thermometer temperature sensor in the Altera FPGA, and digital tube display. The language used for the Verilog, including all the projects and fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7019446
    • 提供者:汪少锋
  1. FPGA-verilog

    0下载:
  2. FPGA一些小工程的verilog源程序,对初学者还是比较有帮助的。-FPGA some small projects of the Verilog source code, for beginners or more help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7391208
    • 提供者:李镇江
  1. JTAG_Example0_Verilog

    0下载:
  2. 一个Verilog的JTAG程序例子,包括完整的说明文档和源文件。(tap_top.v This file is part of the JTAG Test Access Port (TAP) http://www.opencores.org/projects/jtag/ Author(s): Igor Mohor (igorm@opencores.org))
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:386048
    • 提供者:ZhouGuofei
  1. BIC

    0下载:
  2. this project for adaptive schme techniques by using LFSR design projects
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:175104
    • 提供者:king of VLSI
  1. FPGA-Projects-master

    0下载:
  2. FPGA BASYS3 PROJECTS
  3. 所属分类:VHDL/FPGA/Verilog

  1. 单周期CPU大作业-2020

    1下载:
  2. Verilog projects cpu
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-10
    • 文件大小:889856
    • 提供者:sast
  1. AM调制解调

    1下载:
  2. 基于Artix-7 FPGA的AM调制解调代码,从AD读入信号后,进行AM调制,并解调输出(将代码分成两个工程就是AM的调制和解调),其中解调用到的数字滤波采用MATLAB设计(The AM modulation and demodulation code based on artix-7 FPGA, after reading the signal from AD, carries out AM modulation, and demodulates the output (the code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-20
    • 文件大小:41027584
    • 提供者:Emmanuel000
« 12 »
搜珍网 www.dssz.com