CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - vhdl pwm

搜索资源列表

  1. cpld-pwm

    0下载:
  2. 基于cpld的pwm控制设计 采用vhdl.verilog语言设计 对大家比较有用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:79764
    • 提供者:emily
  1. pwm

    0下载:
  2. 基于vhdl的PWM发生器-VHDL-based PWM generator
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:213914
    • 提供者:熊辉波
  1. PWM

    0下载:
  2. 脉冲宽度调制,VHDL代码编写,包括QUARTUSII和MODELSIM工程以及testbench
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:348573
    • 提供者:horse
  1. lai_PWM

    2下载:
  2. FPGA下PWM的Verilog 源码,含目标程序,可直接下载使用,可用在电机控制中-FPGA in Verilog source code under the PWM, including the target program, can be directly downloaded to use, can be used in motor control in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:867445
    • 提供者:huangyongbing
  1. pwm

    0下载:
  2. Pulse width modulation
  3. 所属分类:VHDL-FPGA-Verilog

  1. shukongzhiliudianyuan

    2下载:
  2. 介绍了一种闭环智能数控直流电流源的设计原理和实施方案,该方案采用自行设计制作的高精度电压源,利用单片机、PWM和运算放大器构成A/DD/A转换器来控制场效应管导通状态的原理,达到了输出恒流的目的。整个系统采用89C58单片机作为主控部件,将预置电流值数据送入D/A转换器,经硬件电路变换为恒定的直流输出,同时使用采样电阻将实际输出电流转换成电压送入A/D转换器,并将其反馈到单片机中构成闭环系统,进而实现预设值和实际值的比较,再通过调整D /A转换器输出的电压来改变场效应管的导通状态,减小了实际值与
  3. 所属分类:SCM

    • 发布日期:2015-07-08
    • 文件大小:2234
    • 提供者:zhendongzhao
  1. pwm__vhdl

    0下载:
  2. 一个基于vhdl语言的脉冲宽度调制。并且有两个脉冲输出-Vhdl language-based pulse width modulation. And two pulse output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:904555
    • 提供者:邹细男
  1. servo_module_worked

    0下载:
  2. verilog pwm to control servo motor on quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:21667
    • 提供者:frankie
  1. VHDL100

    0下载:
  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:307161
    • 提供者:lsp
  1. Pulse_Width_Modulator_Altera_MAX_II_CPLD_Design_E

    0下载:
  2. Example VHDL project showing how to use a PWM by CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:290805
    • 提供者:maros
  1. URAT_VHDL

    0下载:
  2. FPGA采用模块工程文件QUARTUS II工程、ADC0809、电机控制PWM、LCD12864显示控制、UART_VHDL-FPGA module QUARTUS II project engineering documents, ADC0809, motor control PWM, LCD12864 display control, UART_VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:238303
    • 提供者:wangzhaohui
  1. ADC0809

    0下载:
  2. 完整ADC0809的时序,采用VHDL语言编写,在Altera cycloneI/II系列下的EP1C6\EP2C5\8平台下测试完成,稳定-ADC0809 Driver by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:406285
    • 提供者:ziyan
  1. components

    0下载:
  2. quartus的几个IP核(PWM,RAM,I2C)-quartus several IP core (PWM, RAM, I2C)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:226451
    • 提供者:宋瑞
  1. PWM

    0下载:
  2. PWM examples in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:31862
    • 提供者:netox
  1. test4

    0下载:
  2. 用 vhdl 语言实现的 32个 条目的 ARP-using vhdl language to realize ARP protocol with 32 entries
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1373
    • 提供者:zhouli
  1. PWM_DA

    0下载:
  2. 随着电子技术的发展,出现了多种PWM技术,其中包括:相电压控制PWM、脉宽PWM法、随机PWM、SPWM法、线电压控制PWM等,而在镍氢电池智能充电器中采用的脉宽PWM法,它是把每一脉冲宽度均相等的脉冲列作为PWM波形,通过改变脉冲列的周期可以调频,改变脉冲的宽度或占空比可以调压,采用适当控制方法即可使电压与频率协调变化。可以通过调整PWM的周期、PWM的占空比而达到控制充电电流的目的。-With the development of electronic technology, a varie
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:196340
    • 提供者:
  1. vhdl

    0下载:
  2. 实验箱的蜂鸣器是交流蜂鸣器,在BZSP输入一定频率的脉冲时,蜂鸣器蜂鸣,改变输入频率可以改变蜂鸣器的响声。因此可以利用一个PWM来控制BZSP,通过改变PWM的频率来得到不同的声响,以此来播放音乐。-Experiment Box AC buzzer buzzer is in BZSP certain frequency pulse input, the buzzer beeps to change the input frequency can change the sound of the b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:22016
    • 提供者:王记存
  1. DE0_PWM_LED

    0下载:
  2. 利用pwm做出的一个控制led亮度的小程序!-Pwm to make use of a control led brightness applet!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:15824
    • 提供者:tony
  1. vhdl

    0下载:
  2. 用vhdl实现的抢答器程序。正弦波,锯齿波,三角波发生器程序。基于pwm技术的数码流水灯程序。计数器程序。-Responder with vhdl implementation process. Sine wave, sawtooth wave, triangle wave generator program. Pwm technology based on digital light process flow. Counter program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5956
    • 提供者:杨雨
  1. PWM

    0下载:
  2. This a PWM (pulse-width modulation scheme code in VHDL)-This is a PWM (pulse-width modulation scheme code in VHDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:875
    • 提供者:usama
« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com