CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - vivado

搜索资源列表

  1. project_PmodKYPD

    0下载:
  2. 用Digilent公司BASYS3开发板和PmodKYPD模块,实现对按键的检测。程序基于VIVADO 2015.4,语言为verilog。(Digilent's BASYS3 development board and PmodKYPD module are used to detect keystrokes. The program is based on VIVADO 2015.4 and the language is verilog.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:549888
    • 提供者:曹玄德
  1. Single_cpu

    1下载:
  2. 单周期CPU自己课程大作业做的,亲测好用,verilog语言,适用vivado(Single cycle CPU course to do, pro - use, Verilog language, suitable for vivado)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:786432
    • 提供者:Alva007
  1. vivado2017_xpogod

    0下载:
  2. vivado 2017 开发软件下载,需要的朋友可以拿去使用,(Vivado 2017 development software downloads, the need of friends can be used)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:5143552
    • 提供者:lambor_181
  1. at7_ex01

    0下载:
  2. 8个LED执行流水灯。流水灯依次循环点亮。基于vivado平台编写的Verilog代码(The 8 LED executes the flow light. The flow light is turned on and out in turn. Verilog code based on vivado platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:524288
    • 提供者:24fh
  1. at7_ex03

    0下载:
  2. 使用FPGA内部的PLL产生时钟,计数器循环计数驱动LED闪烁。基于vivado平台编写的Verilog代码(Use FPGA's internal PLL to generate clock, counter cycle counting drive LED flicker. Verilog code based on vivado platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:738304
    • 提供者:24fh
  1. at7_ex04

    0下载:
  2. 通过LED闪烁控制器的代码,使用Vivado工具配置定义一个IP核,在用户工程中可随意添加这个IP核作为设计的一部分,如同Vivado自带的IP核一样方便调用和集成。(Through the code of the LED scintillation controller, the Vivado tool is configured to define a IP core, and the IP kernel can be added as part of the design at rando
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:1833984
    • 提供者:24fh
  1. mips16

    0下载:
  2. 来自openhec平台,完整的mips16cpu设计。未添加工程,需自己手动建立工程添加文件,仅供参考。(mips16 cpu.no vivado project.It's just for teaching.If you want to learn more about it, please search for OpenHec.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:13312
    • 提供者:麻麻辣
  1. ARM_SOC

    1下载:
  2. ARM最小系统,vivado或ISE综合后下载至FPGA板子上可以做ARM用,包含连接在AHB总线上的RAM和ROM,ARM内核引出JTAG接口,可以连接调试器用keil-MDK进行调试!(ARM minimum system, vivado or ISE integrated download to the FPGA board can be used as ARM, including the RAM and ROM connected to the AHB bus, the ARM ker
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:688128
    • 提供者:ldz13180882132
  1. pencode83b

    0下载:
  2. 8-3优先编码器,在vivado中的项目,可直接打开.xpr,版本vivado2017.4(8-3encodervivado2017.4)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-06
    • 文件大小:115712
    • 提供者:raindaddy
  1. Xilinx_Vivado_Design_Suite_HLx_Editions_2018.2

    3下载:
  2. vivado 2018.2 license
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-09-27
    • 文件大小:5120
    • 提供者:Vanbodh
  1. cordic

    2下载:
  2. 基于verilog HDL的cordic算法FPGA实现。省去繁琐的乘法开方计算。IDE为vivado 2014( U57FA u4E8Everilog HDL u7684cordic u7B97 u6CD5FPGA u5B9E u73B0 u3002 u7B1 u53BB u7E1 u7410 u7684 u4E58 u6CD5 u5F00 u65B9 u8BA1 u7B97 u300BIDE u4E3Avivado 2014)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-01
    • 文件大小:97280
    • 提供者:涛2017777
  1. CIC

    2下载:
  2. 包括地址产生单元、数据查询单元(可以重新初始化rom中的数据,由matlab产生.coe文件替换)、积分单元、抽取单元、梳状滤波单元,对于初学者很有帮助(Including address generation unit, data query unit (data can be re-initialized in rom, generated by matlab. COE file replacement), integration unit, extraction unit, comb fi
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-02-25
    • 文件大小:5120
    • 提供者:午后河流
  1. rx_module

    2下载:
  2. 接收机的顶层模块构建,对需要参考的朋友有一定的帮助(The construction of the top module of the receiver is helpful to friends who need reference.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-12
    • 文件大小:2082816
    • 提供者:月@夜
  1. shifter

    2下载:
  2. 基于vivado的Xilinx的FPGA其移位寄存器代码(Xilinx's FPGA shift register code based on vivado)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-03
    • 文件大小:442368
    • 提供者:hyiming
  1. down_up_dds

    2下载:
  2. 在Vivado下完成AD输入到下变频的功能,频率可配置,通用化设计。(The function of AD input to down conversion is completed under Vivado, and the frequency is configurable and universal design.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-13
    • 文件大小:5795840
    • 提供者:Park_sc
  1. 09_ddr3_test

    1下载:
  2. 利用vivado的MIG控制器来实现DDR3的读写(Using vivado's MIG controller to realize DDR3's read and write)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-11-22
    • 文件大小:24091648
    • 提供者:赵建奇
  1. defog

    1下载:
  2. 图像去雾算法FPGA实现,使用xilinx Vivado开发环境(Image dehazing algorithm FPGA implementation using xilinx Vivado development environment)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-05-11
    • 文件大小:13312
    • 提供者:shirokane
  1. AT426-BU-98000-r0p0-00rel0

    2下载:
  2. Cortex-M3软核,适用于Vivado 2018.2以上版本(Cortex-M3 IP,suitable for the Vivado 2018.3 or later)
  3. 所属分类:微处理器开发

    • 发布日期:2019-08-08
    • 文件大小:7709696
    • 提供者:绚水韶华
  1. VHDLcounter

    0下载:
  2. VHDL,四位counter,用Vivado写的,可运行,可模拟,可仿真,可写入硬件里,四个指示灯会每一秒闪一次。
  3. 所属分类:VHDL编程

    • 发布日期:2019-04-09
    • 文件大小:67801
    • 提供者:ftqa@qq.com
  1. vivado

    2下载:
  2. 用中规模MSI基本逻辑功能模块 实现关模比较器(要求分别使用中规模和语言实现): 功能要求:它的输入是两个8位无符号二进制整数X和Y,以及一个控制信号S;输出信号为1个8位无符号二进制整数Z。输入输出关系为:当S=1时, Z=min(X,Y);当S=0时, Z=max(X,Y)。(Modeling comparator is implemented by using basic logic function modules of medium-scale MSI (medium-scale an
  3. 所属分类:微处理器开发

    • 发布日期:2020-05-12
    • 文件大小:10240
    • 提供者:瘾1581
« 1 2 ... 4 5 6 7 8 910 »
搜珍网 www.dssz.com