CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 三角波

搜索资源列表

  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. DigitalSignalGenerator

    1下载:
  2. 多功能信号发生器,实现4种常见波形正弦波、三角波、锯齿波、方波的功能。并且输出信号的频率范围为100Hz~200KHz,输出频率可以调节;可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出,具有显示输出波形、频率的功能。-Digital Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-16
    • 文件大小:79065
    • 提供者:smile
  1. VHDL_code

    0下载:
  2. 三角波发生器,VHDL代码,要的朋友下载!-Triangular wave generator, VHDL code, to be a friend to download!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:573
    • 提供者:chzhqlove
  1. VHDL1

    0下载:
  2. 学习用FPGA设计一个信号发生器,根据输入信号的选择输出递增、递减锯齿波、三角波、阶梯波和方波。-Learning to use FPGA to design a signal generator, the choice of output to the input signal increases, decreasing sawtooth, triangle, ladder wave and square wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:399303
    • 提供者:zhangyue
  1. BX

    0下载:
  2. 正弦信号、三角波、锯齿波三种信号波形;同时对于正弦信号波形要求能够产生步进-Sine signal, triangle wave, sawtooth waveforms of three the same time requirements for sinusoidal waveforms to produce step
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:991988
    • 提供者:zdan
  1. dds

    1下载:
  2. 在quartus下的DDS设计,Verilog语言,可以产生正弦波、三角波、方波等,频率可调。-Under the DDS in quartus design, Verilog language, you can produce sine wave, triangle wave, square wave, frequency adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:2632704
    • 提供者:米多
  1. FPGA(DDS)

    0下载:
  2. 采用FPGA来实现DDS,发出任意频率的三角波,方波或正弦波-Use FPGA to implement DDS, given any frequency triangle wave, square wave or sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:416378
    • 提供者:haha
  1. vhdl

    0下载:
  2. 用vhdl实现的抢答器程序。正弦波,锯齿波,三角波发生器程序。基于pwm技术的数码流水灯程序。计数器程序。-Responder with vhdl implementation process. Sine wave, sawtooth wave, triangle wave generator program. Pwm technology based on digital light process flow. Counter program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5956
    • 提供者:杨雨
  1. pwm_last

    1下载:
  2. 输出PWM波的资料,可以用来输出正弦波,三角波等-PWM wave output data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:945481
    • 提供者:zhangshan
  1. jcb

    0下载:
  2. 递加的三角波 用以输出是各种信号的一种 精度比较好-di jia san jiao bo yong yi xian shi shu chu shi ge zhong xin hao de yi zhong
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2232
    • 提供者:sunkai
  1. jchb

    0下载:
  2. 递减的三角波 用于输出 是各种信号输出的一种 有较好的精度-di jian san jiao bo yong yu shu chu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2261
    • 提供者:sunkai
  1. sjb

    0下载:
  2. 三角波 用于输出是各种信号的一种 有较好的精度-san jiao bo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2377
    • 提供者:sunkai
  1. 00

    0下载:
  2. 用VHDL语言调用IP核,在ISE中实现三角波-VHDL IP core with the realization of the triangular wave is called
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2384
    • 提供者:张博奇
  1. nios_dds

    0下载:
  2. 采用Altera的NIOS内核,配合独立的累加器,实现了正弦波,三角波,锯齿波和方波的DDS产生电路,系统时钟最高可达120MHz,配合高速DAC,可产生最高约40MHz左右的波形-Using Altera' s NIOS core, with a separate accumulator, to achieve a sine wave, triangle wave, sawtooth and square wave generation circuit DDS system clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3113548
    • 提供者:Tomy Lee
  1. shibo

    0下载:
  2. 本程序是关于简易数字示波器,能够对三角波,方波,正弦波,进行储存显示。-This program is about simple digital oscilloscope, capable of triangle wave, square wave, sine wave, the store display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:429896
    • 提供者:Robert
  1. FPGAwave

    0下载:
  2. 这是一个函数发生器的程序,能够实现100k-10M的三角波,方波,正弦波。-This is a function generator program, to achieve 100k-10M triangle wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1805296
    • 提供者:Robert
  1. boxingfasheng

    0下载:
  2. 三角波、正弦波、余弦波、方波的产生VHDL代码程序,可以根据自己的需要得到相应的波形。-Triangular wave, sine wave, cosine wave, square wave generated VHDL code program, according to their own needs the corresponding waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:467734
    • 提供者:yangxiao
  1. wavegenerator

    0下载:
  2. 产生各种波形,比如正弦波,方波,三角波等,下载的别人的,感觉不错,就上传了-Produce various waveform, for instance, square, triangle sine waves, download the others, feeling good, just upload
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:500743
    • 提供者:lyz
  1. DAC0832_control

    0下载:
  2. 用verilog HDL编程实现的基于DAC0832的三角波信号,可借鉴编程实现DAC0832芯片控制-Programming with verilog HDL DAC0832-based triangular wave signal, we may learn programming DAC0832 chip control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:637
    • 提供者:hemy
  1. VHDL-Waveform-source

    0下载:
  2. I/V转换波形输出,可生成三角波、锯齿波、方波、和正弦波等常用波形。-I/V converter output waveforms can be generated triangle wave, sawtooth wave, square wave, and other commonly used sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1627
    • 提供者:obu
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com