CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 两位 比较器

搜索资源列表

  1. VERILOGCOMP

    0下载:
  2. 设计一个字节(8 位)比较器。 要求:比较两个字节的大小,如a[7:0]大于 b[7:0]输出高电平,否则输出低电平,改写测试 模型,使其能进行比较全面的测试 。 -design a byte (8) for comparison. Requirements : To compare the size of two bytes, as a greater than [7:0] b [7:0] output margin. Otherwise, low-level output, re
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7126
    • 提供者:周正华
  1. bijiaoqi

    0下载:
  2. 这是一个用VHDL编写的简单的两位数值比较器,数值类型为BIT型-It s a compare device whice compiled with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2445
    • 提供者:snow
  1. comp

    0下载:
  2. 用VHDL设计实现3位二进制比较器,其中AB为两个数值输入端口,YAYBYCW为比较结果-VHDL Design and Implementation with 3-bit binary comparator which AB values ​ ​ for the two input ports, YAYBYCW to compare the results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:227772
    • 提供者:马媛
  1. msp430x41x

    0下载:
  2. 低电源电压范围为1.8 V至3.6 V 超低功耗: - 主动模式:280μA,在1 MHz,2.2伏 - 待机模式:1.1μA - 关闭模式(RAM保持):0.1μA 五省电模式 欠待机模式唤醒 超过6微秒 16位RISC架构, 125 ns指令周期时间 12位A/ D转换器具有内部 参考,采样和保持,并 AutoScan功能 16位Timer_B随着三† 或七‡ 捕捉/比较随着阴影寄存器 具有三个16位定时
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1932014
    • 提供者:苏春明
  1. vhdl

    0下载:
  2. 此程序为VHDL的四位比较器,两位输入,三位输出-This procedure the VHDL four comparators, two input, three output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1342
    • 提供者:马付涛
  1. LabA1Design2

    1下载:
  2. 设计模式比较器电路:电路的输入为两个8位无符号二进制数a、b和一个模式控制信号m;电路的输出为8位无符号二进制数y。当m=0时,y=MAX(a,b) 而当m=1时,则y=MIN(a,b)。要求用多层次结构设计电路,即调用数据选择器和比较器等基本模块来设计电路。-Design pattern comparator circuit: circuit input as two 8-bit unsigned binary numbers a, b and a mode control signal m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1525
    • 提供者:Peter
  1. LabA1Design1

    1下载:
  2. 设计求两数之差的绝对值电路:电路输入aIn、bIn为4位无符号二进制数,电路输出out为两数之差的绝对值,即out=|aIn-bIn|。要求用多层次结构设计电路,即调用数据选择器、加法器和比较器等基本模块来设计电路。-Design for the number two absolute value of the difference between circuits: circuit input aIn, bIn a 4-bit unsigned binary number, the circu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3040
    • 提供者:Peter
  1. 74HC85

    0下载:
  2. 四位数值比较器是一个有多个输入和多个输出组合逻辑电路,在数字系统中有着广泛的应用。它通过比较两个四位二进制数的值,以产生不同的输出结果。本设计兼容数字电路中常用的74HC85数值比较器。-Four numerical comparator is a multiple inputs and multiple outputs combinational logic circuits, has been widely used in digital system. It by comparing th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:61555
    • 提供者:a
  1. EDA

    0下载:
  2. 1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器) 19.二十四进制双向计数器 20.二选一 21
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:4143
    • 提供者:wanghao
  1. compare_8

    0下载:
  2. Verilog HDL机器语言中八位比较器的实现,两个八位输入,一个一位的输出。-Eight machine language Verilog HDL source code comparison, two eight-bit input and output a bit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:31477
    • 提供者:李建文
搜珍网 www.dssz.com