CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 串口通信

搜索资源列表

  1. uart

    0下载:
  2. VHDL编写的异步通信串行口设计用Quartus工具编译
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:213116
    • 提供者:朱兆斌
  1. FPGA_UART

    1下载:
  2. 异步通信串行口设计实例,很实用。比较经典。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:493799
    • 提供者:王网
  1. Proj

    0下载:
  2. verilog/vhdl 串行口232通信程序-Spartan3E开发板调试通过-verilog/vhdl serial port communication program-Spartan3E 232 development board debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:779
    • 提供者:xxit
  1. cpld

    0下载:
  2. 使用cpld完成多个串口切换通信,能够完成快速通信,已经完成验证-Using CPLD to complete multiple serial communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:223160
    • 提供者:zhang
  1. 4M4ppm

    0下载:
  2. 以前用verilog做的 4ppm编码,红外通信的编码解码,串口速度4Mbit每秒-Previously used verilog to do 4ppm encoding, infrared communication codec, serial speed 4Mbit per second
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8307841
    • 提供者:cc
  1. FPGA-for-UART-source-code

    0下载:
  2. 针对UART接口通信FPGA的Verilog源代码,主要包括串口读和串口写个模块-Verilog source code for UART interface communication FPGA, including serial read and serial write module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:172926
    • 提供者:王大锤
  1. UART_Rx_Tx

    0下载:
  2. fpga串口uart,实现fpga与电脑、单片机之间的通信-The fpga uart serial port, realize the fpga and computer, the communication between the SCM (single chip micyoco)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:4255050
    • 提供者:讼淳
  1. uart_test

    0下载:
  2. 描述了利用spatran6系列的FPGA,进行串行异步通信的uart串口实现代码(Describes the use of spatran6 series of FPGA, serial asynchronous communication uart serial port to achieve the code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:360448
    • 提供者:cy白菜
  1. 8_uart_test

    0下载:
  2. 实现了通信串口的实验,包括发送和接收,工程完整(Serial communication to achieve the experiment, including sending and receiving)
  3. 所属分类:VHDL/FPGA/Verilog

  1. uart

    0下载:
  2. VHDL实现串口转换的代码,串行通信的发送器有五个状态:--1.X_IDLE(空闲)状态 : 当UART被复位后,状态机将立刻进入这一状态,在这个状态下, -- 状态机一直等待发送命令XMIT_CMD,当接收到发送命令后,状态机进入X_START状态,准备发送起始位信号 --2.X_START状态 : 在这个状态下,UART发送一个位时间宽度的逻辑'0',信号至TXD,即 -- 起始位,紧接着状态机进入X_SHIFT状态,发一位数据 --3.X_WAIT状态 : 当状态机处于这一个状态时
  3. 所属分类:VHDL/FPGA/Verilog

  1. test_uart

    0下载:
  2. 该资料包含用FPGA(EP4CE22F17型号)编写的UART通信程序,最重要的是里面含有串口波特率可调,包括一些常见的波特率。(This information includes UART communication program written by FPGA (EP4CE22F17 model), and most importantly, it contains serial port baud rate tunable, including some common baud rate
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:3358720
    • 提供者:杨雷
  1. spi_master

    1下载:
  2. SPI通信:串行flash的读写擦除命令通过SPI接口进行通信。? CPU芯片与FPGA通过SPI接口进行通信。? 其他功能集成电路芯片参数寄存器配置。例如DAC芯片内部有很多寄存器(因为芯片有很多功能,要通过设置寄存器不同的开关来打开或关闭相应的功能,一上电去初始化寄存器)需要我们去配置。FPGA一上电也是通过配置芯片里边来读取数据,然后配置FPGA内部的SRAM。FPGA是读取FLASH里边的串行数据,读取完校验完才配置到我们的FPGA的SRAM中去。速度比串口快,而且是同步传输。(Th
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:3389440
    • 提供者:小云子
  1. TR_ctrl

    1下载:
  2. 实现串口1转四通信,同时实现片内存储修正系数,上电补偿
  3. 所属分类:VHDL编程

  1. spi

    1下载:
  2. spi的串口简单数据通信实验,实现数据发送(SPI serial port simple data communication experiment, to achieve data transmission)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-11-11
    • 文件大小:3928064
    • 提供者:li~~~~
« 1 2 ... 17 18 19 20 21 22»
搜珍网 www.dssz.com