CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 多功能

搜索资源列表

  1. DigiClock_v1.0

    0下载:
  2. 多功能数字钟:包含默认模式、设置模式、闹钟模式和跑表模式。已在ISE10.1工具烧录成功,烧录开发板Xilinx Spartan 3 xc3s400 pq205 speed -4 开发板烧录成功-Multi-function digital clock: contains the default mode, setting mode, alarm mode and stopwatch mode. The source code has been successfully burned in IS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:66502
    • 提供者:triblade
  1. VHDL_waveform_generator

    0下载:
  2. 多功能波形发生器VHDL编程与仿真,可以来学习啊-VHDL programming and simulation of multi-function waveform generator, you can learn it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:106375
    • 提供者:libing
  1. counter_mu_over

    0下载:
  2. 通过fpga实现多功能计数器,从而进行测频,测相位差,占空比-Fpga realization of multifunction counter, frequency measurement, the measured phase, duty cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3847628
    • 提供者:wang dong
  1. Versatile-waveform-generator

    0下载:
  2. 多功能波形发生器,基于fpga,实现方波,三角波,锯齿波的产生-Versatile waveform generator based on fpga, square wave, triangle wave, sawtooth wave generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:11428
    • 提供者:凌应龙
  1. multi-function-digital-clock

    0下载:
  2. 基于fpga的多功能数字时钟设计,有预设和报警功能-Fpga-based design of multi-function digital clock, presets and alarm functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:557677
    • 提供者:凌应龙
  1. Multifunction-digital-clock

    0下载:
  2. 在quartus平台下利用Verilogyu语言编写的多功能数字钟,数字钟有定时、调时、闹钟、秒表等功能-Quartus platform the use of Verilogyu language multifunction digital clock, digital clock timing, tone, alarm clock, stopwatch functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:5685438
    • 提供者:
  1. zhong

    0下载:
  2. 基于CPLD的多功能数字钟编程,具有闹钟,整点报时,倒计时,日历等功能-CPLD-based multi-functional digital clock programming, alarm, hourly chime, countdown, calendar and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:376870
    • 提供者:hedi
  1. exp13

    0下载:
  2. 本实验的任务就是设计一个多功能数字钟,要求显示格式为:小时分钟--秒钟,整点报时,报时时间为5秒,即从整点前5秒钟开始进行报时提示,LED开始闪烁,过整点后,停止闪烁。调整时间的按键用按键模块的KEY1和KEY2,KEY2调节小时,每按下一次,小时增加一个小时,KEY1调整分钟,每按下一次,分钟增加一分钟。另外用KEY0按键作为系统时钟复位,复位后全部显示0000--00。(时间调整按钮按下后需停顿半秒钟以上设置方能生效)-The task of this experiment is to de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:645583
    • 提供者:真三战魂
  1. quartus-clock.RAR

    0下载:
  2. 设计FPGA电路以模拟多功能电子表的工作过程,功能如下:(1 )数字钟,要求从00:00 :00点计到23 :59:59 (2)数字跑表(3 )调整时间 (4)闹钟设置,可以设置2个闹钟,闹钟时间到了后会提醒,提醒时间持续20 秒,如果此时按A键,则该闹钟解除提醒,如果按住B键,闹钟暂停提醒。但是3 分钟后重复提醒一次。如果闹钟响时没有按键,则响完20秒之后暂停,然后同样3 分钟后重新提醒一次。(5 )日期设置。可以设置当前的日期, 比如2012年08月20 日。-Design FPGA c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:1664385
    • 提供者:章梓音
  1. traffic_led

    0下载:
  2. 基于FPGA芯片设计多功能交通灯,该模块利用状态机设计,能实现交通指示功能-FPGA-based chip design multi-functional traffic lights, the module state machine design, traffic directions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:464967
    • 提供者:
  1. duogongnengshuzizhong

    0下载:
  2. 多功能数字钟VHDL源文件,采用动态显示方式,6个数码管-Multifunction digital clock VHDL source files, dynamic display, six digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:1368
    • 提供者:叶叶
  1. clock

    0下载:
  2. 多功能数字钟:正常显示时分秒,设置调整时间,秒表,闹钟-Multifunctional digital clock: normal display, minutes and seconds, set to adjust the time, stopwatch, alarm clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:6655
    • 提供者:Atera
  1. CPLD-digital-clock-design

    1下载:
  2. 基于CPLD实验板的多功能数字钟设计,运用VHDL编写程序-Multifunction digital clock design based on CPLD experimental board, the use of VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-03
    • 文件大小:73302
    • 提供者:木子李
  1. DIGITAL_TIMER

    0下载:
  2. 用FPGA开发的多功能电子时钟,能够设置闹钟,调试-FPGA development of multi-functional electronic clock, set the alarm, commissioning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:478974
    • 提供者:任红炎
  1. Multi-function-waveform-generator

    0下载:
  2. 本系统应用VHDL语言及MAX+PLUS II仿真软件利用自顶向下的设计思想进行设计,结合示波器加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行多功能组合的特点,经济实用,成本低廉。具有产生四种基本波形脉冲信号(方波、三角波、锯齿波和正弦波),且脉冲信号输出幅度及输出频率可调,对于方波信号,还可以实现占空比可调。通过软件仿真和硬件测试都得到了预期的结果。-The system using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1485546
    • 提供者:xinxing
  1. Digital_clock11

    0下载:
  2. 基于FPGA芯片设计多功能数字钟,具有任意时刻定时闹钟,有分频器,计数器,等等模块构成-Regular alarm clock based on the FPGA chip design multifunction digital clock, any time, divider, counter modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:501564
    • 提供者:
  1. Multi-functional-electronic-watch

    0下载:
  2. 多功能电子表。代码详细,有解释。已经经过检验。Ti的FPGA用。-Multifunction electronic table. Code in detail, explain. Have been tested. Ti' s FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:478484
    • 提供者:candor zhang
  1. VHDLclock

    0下载:
  2. 设计一个多功能数字时钟:时钟显示,手动校时,整点报时,闹钟功能-Clock manually school, the whole point timekeeping, alarm clock function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:368858
    • 提供者:陈大伟
  1. duogongnengshuzizhong

    0下载:
  2. 基于Max+plus2软件的Verilog VHDL语言的数码管显示多功能数字钟-Multifunctional digital clock digital tube based on Max+plus2 software Verilog VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:669696
    • 提供者:lzhf
  1. Timer

    0下载:
  2. Verilog编写的多功能秒表,Quartus仿真及硬件测试通过。-Verilog prepared by the multi-function stopwatch, Quartus simulation and hardware testing through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:1687001
    • 提供者:styx
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 15 16 »
搜珍网 www.dssz.com