CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 数字时钟

搜索资源列表

  1. piaobiao

    0下载:
  2. 数字跑表,具有复位、暂停、秒表计时等功能。有三个输入端,为时钟输入(clk)、复位(clr)、启动与暂停(pause)。-Digital stopwatch, with reset, pause, stopwatch functions. There are three inputs for clock input (clk), Reset (clr), start and pause (pause).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:511724
    • 提供者:莫莫
  1. msp430f5529_digital_clock

    0下载:
  2. 这是一份msp430f5529开发板的数字钟例程,实现了数字时时钟,指针式时钟,背光调整等一系列完善的功能-This is a digital clock routine of msp430f5529, it has digital clock, pointer type clock, backlight adjustment and a series of perfect functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2626449
    • 提供者:tanxiaoyao
  1. soda

    0下载:
  2. 实现一个苏打可乐售卖机,包括时钟分频,自动找零,能否售卖成功等功能。是中山大学移动信息工程学院数字设计的基础练习之一。-Achieve a Coke vending machine soda, including the clock divider, automatic change, the ability to sell successfully, and other functions. Exercise is one of the basic movement of Informatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8680222
    • 提供者:jintaoluo
  1. shuzizhong

    0下载:
  2. 基于VHDL语言的数字钟,有元件例化,修改时钟功能 Quartus II平台-VHDL language based digital clock, there are component instantiation, modify clock function Quartus II platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4558252
    • 提供者:尜尜
  1. digital-frequency-meter

    0下载:
  2. 1.用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz∼ 10KHz,分成两个频段,即1∼ 999Hz,1KHz∼ 10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -1. VHDL design and simulation comple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:45466
    • 提供者:项小娇
  1. VHDL-Multi-fuction-Clock

    0下载:
  2. 设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5 秒LED 开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。另外用S8 按键作为系统时钟复位,复位后全部显示00-00-00。-T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7658196
    • 提供者:冯雨娴
  1. 07_dled

    0下载:
  2. 实现数字字母的显示。。等等。。可以输定设置时间等等,还可以做时钟计时输入-Alphanumeric display.. And so on. You can set a time loser, etc., can also do clock input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:537029
    • 提供者:政治
  1. Sequence-Detector

    0下载:
  2. 利用状态机设计一个序列检测器,用以检测“1101”。用btn[1]和btn[0]作为输入分别代表1和0,输入的当前数字显示在数码管最后一位,每当新输入一个数字,之前输入的数字左移一位,依次显示出最近输入的四位数字,无输入时数码管不显示任何数字。clk时钟需要分频后才可作为检测时钟(建议分频至190Hz),每当检测到序列中有“1101”出现时,led[0]点亮,即数码显示管上显示“1101”时led[0]点亮;当按下btn[2]时恢复初始状态。-The use of a state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4503
    • 提供者:刘东辉
  1. UART_DPLL

    0下载:
  2. 通过串口uart rs232控制的全数字锁相环,dpll, 可锁时钟相位-UART CTORLER DPLL MODULE CLK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:33486
    • 提供者:
  1. shizhong

    1下载:
  2. 这是用VHDL编写的数字逻辑时钟电路,实现计时和由23:59到00:00转换的功能,已经在FPGA中测试通过!-This is written in VHDL digital logic circuit。It can realize the function of timing and by 23:59 to 00:00 conversion, has been in the FPGA test through!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:371140
    • 提供者:李佳倩
  1. Lab4

    0下载:
  2. 该实验室会议的目的是要实现一个可配置的FM-AM数字调制器的数据通路。它是由一个CIC内插滤波器及可配置的FM-AM块。调制器信号以48kHz被取样,并且由CIC内插滤波器的装置内插高达96MHz的。在FM-AM配置块适用于96 MHz的时钟-The aim of this laboratory session is to implement the data-path of a configurable FM-AM digital modulator. It is composed of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4365299
    • 提供者:张珂
  1. clock

    0下载:
  2. 用VHDL 语言设计数字钟,实现在数码管上显示分钟和秒,并且可以手动调节分钟, 实现分钟的增或者减。该设计包括以下几个部分: (1)分频电路的设计,产生1Hz 的时钟信号,作为秒计时脉冲; (2)手动调节电路,包括“时增”“时减”“分增”“分减”。 (3)时分秒计时电路。 (4)7 段数码管显示电路。 将 SW1 和SW2 初始状态均置为高电平。拨动开关SW1 到低,分钟进行加计数,秒停 止计数,当计数到59 时,从00 开始重新加计数,将SW1 拨动到高时,在当前状
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:495748
    • 提供者:panda
  1. answer4

    0下载:
  2. 数字式竞赛抢答器 设计一个可容纳四组参赛者同时抢答的数字抢答器 1.能判断第一抢答者并报警指示抢答成功,其他组抢答均无效 2.设计倒计时时钟,若提前抢答则对相应的抢答组发出警报-Digital Race Responder Design a can hold four groups of participants at the same time answering the digital answering machine 1. To determine the firs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5501363
    • 提供者:韩大马
  1. clock

    0下载:
  2. 一个简易的数字钟,可以根据输入的时钟频率来计时-A simple digital clock can be clocked based on the input clock frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:1024
    • 提供者:王一
  1. shuzizhong1

    0下载:
  2. 数字钟包含时分秒计时,还有时钟和分钟的校正,同时还能显示日期。(Digital clock contains a time when every minute, and the clock and the minute correction, but also display the date.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:366592
    • 提供者:阿茉
  1. yuanma

    0下载:
  2. 介绍了fpga开发的的数个工程源码,包括按键,时钟,AD/DA,VGA,数字示波器等(Introduced FPGA development of several engineering source code, including buttons, clock, AD/DA, VGA, digital oscilloscope, etc.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:92250112
    • 提供者:大众
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
  1. 2

    2下载:
  2. 设计一个具有时、分、秒计时的电子钟,按24小时计时。要求: (1)数字钟的时间用六位数码管分别显示时、分、秒; (2)用两个控制键,对数字钟分别进行分、时校正; (3)具有仿广播电台整点报时的功能。即每逢59分51秒、53秒、55秒及57秒时,发出4声500Hz低音,在59分59秒时发出一声1kHz高音,它们的持续时间均为1秒。最后一声高音结束的时刻恰好为正点时刻。 (4)具有定时闹钟功能,且最长闹铃时间为1分钟。要求可以任意设置闹钟的时、分;闹铃信号为500Hz和1kHz的方波信号,两
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:52224
    • 提供者:LIMBO2K
« 1 2 ... 11 12 13 14 15 16»
搜珍网 www.dssz.com