CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 方波

搜索资源列表

  1. jianyishuzipinlvji

    0下载:
  2. (1)基本要求: a.被测信号的频率范围为1~20kHz,用4位数码管显示数据。 b.测量结果直接用十进制数值显示。 c.被测信号可以是正弦波、三角波、方波,幅值1~3V不等。 d.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。 e.当测量脉冲信号时,能显示其占空比(精度误差不大于1 )。 (2)发挥部分 a.修改设计,实现自动切换量程。 b.构思方案,使整形时,以实现扩宽被测信号的幅值范围 -(1) Basic requirements: a.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4487
    • 提供者:longking
  1. dds3

    0下载:
  2. 可产生三角波,正弦波,方波并且频率可调节的函数信号发生器-Can generate triangular wave sine wave square wave and the frequency can be adjusted to function signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1859467
    • 提供者:
  1. digital-frequency-meter

    0下载:
  2. 数字频率计的设计,1.频率测量范围:1Hz—9999Hz。 2.数字显示位数:4位数字显示。3.被测信号幅度Ui=0.5—5V(正弦波、三角波、方波)。4.测量时间:t≤1.5S-The design of digital frequency meter, 1. Frequency Range: 1Hz-9999Hz. 2. Digital Display digits: 4-digit display. 3. The measured signal amplitude Ui = 0.5-5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:51520
    • 提供者:翟明
  1. FPGA-based-function-generator

    0下载:
  2. 本论文设计的任意波形发生器所要实现的基本功能: (1)输出波形的种类:正弦波、方波、三角波、锯齿波、脉冲波、手绘任意波形、任意公式波形。 (2)输出波形每一通道的频率、幅值、偏置都可以由用户调节,并且可以设置多个通道信号之间的相位差。 (3)编辑波形的方式有:设置参数、输入公式、手工绘制通信波特率的全部功能在PC机上实现。 -In this thesis, the arbitrary waveform generator to achieve the basic function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:589995
    • 提供者:loutao
  1. ep2c35_4_15_signal_generator

    0下载:
  2. 这个程序由verilog语言编写。用来在FPGA内产生各种常用信号,如方波。-This program is writen by verilog HDL.it is for generate waves in FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:141645
    • 提供者:Nevin Young
  1. boxing

    0下载:
  2. 波形发生器,有选择模块 可以进行方波 正弦波 脉冲波-Waveform generator, a selection module can be square wave sine pulse
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:9973
    • 提供者:xuefj
  1. dds

    0下载:
  2. 基于vhdl的dds信号发生器,可产生方波,三角波,正弦波,幅度,频率,相位可调-The signal generator based on VHDL DDS, can produce square wave, triangle wave, sine wave, amplitude, frequency, phase can be adjusted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1627883
    • 提供者:
  1. fangbo

    0下载:
  2. 关于方波发生器的VHDL代码,用rom表装载数据然后AD转换-Katanami the code generators vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:41523
    • 提供者:张陈梅
  1. DDS

    0下载:
  2. 多功能讯号发生器,产生方波,三角波,锯齿波-Multi-function signal generator to produce a square wave, triangle wave, sawtooth
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:877885
    • 提供者:
  1. DDS

    0下载:
  2. 实现了基于FPGA的DDS信号源设计,能同时两路输出,输出波形包括正弦波、三角波、方波和锯齿波,且其频率和相位均可调,还能计算两路输出信号的相位差。-FPGA-based implementation of the DDS signal source design, two outputs simultaneously, the output waveforms including sine, triangle, square and sawtooth waves, and its freque
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9300900
    • 提供者:huangyanzi
  1. frequence-measure

    0下载:
  2. 频率测量及方波占空比测量 频率测量及方波占空比测量-frequence measure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4581985
    • 提供者:kaikai
  1. S6_VGA_change

    0下载:
  2. 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序可以在VGA显示器上以800x600分辨率显示方波示例和字母示例 3。具体设计参考代码。 -1. Save the source file in the src directory, QII project files in the directory Proj 2. Program can display at VGA display with 800x600 resolution and square-w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3258064
    • 提供者:成语
  1. DDS-TEST-4

    0下载:
  2. 用FPGA实现DDS,有正弦,三角,方波,方波可调占空比,频率可调。能做到100K左右。-Using FPGA DDS, a sine, triangle, square, adjustable duty cycle square wave, frequency adjustable. Can do about 100K.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:381908
    • 提供者:刘懿锋
  1. licheng

    0下载:
  2. 有关数码管,定时器,ADC,方波生成器,电压比较器的,频率计等的程序学习样本-The digital tube, timer, ADC, square wave generator, voltage comparator, such as the frequency of the program study samples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3174373
    • 提供者:屠丹
  1. jiyuVHDLshizhongchengxu

    0下载:
  2. 基于VHDL的时钟、正弦波和方波实验报告-VHDL-based clock, sine and square wave experiment report
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:726523
    • 提供者:曾斌
  1. PICdpjpwm

    0下载:
  2. PIC单片机PWM模块应用实验程序,包含系统配置、-中断服务程序, 使用PIC16F887芯片,输出50khz占空比50 的方波 -PIC microcontroller PWM module application experimental procedures, including system configuration,- interrupt service routine, use the PIC16F887 chip, a 50 duty cycle output 50khz sq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4497
    • 提供者:zcx
  1. produce-katanami-of-200mS

    0下载:
  2. 基于51单片机的编程,产生200ms方波,利用示波器可以观察到方波的产生,如果接LED灯,可以看到灯的亮息变化-Based on 51 single-chip programming, resulting in 200ms square wave, the oscilloscope can be observed using a square wave generation, if then LED lights, you can see the bright lights change int
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:764
    • 提供者:刘礼明
  1. signal-generator

    0下载:
  2. 此为简易信号发生器设计,波形可选(锯齿波、三角波、方波、正弦波),幅度可选(0dB、20dB、40dB、60dB),频率可选(0-1kHz)-a signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:74318
    • 提供者:hongyuanwa
  1. AD9851-for-MSP430

    0下载:
  2. AD9851是AD公司DDS芯片,可产生方波正弦波,这个是MSP430上移植的程序-AD9851 DDS chip is AD' s, can produce a square wave sine wave, this is the MSP430 on the transplant program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1381
    • 提供者:韦冬成
  1. 0832_84

    0下载:
  2. 基于单片机的函数信号发生器,c语言编程,可产生幅度,频率可变的正弦波,方波,三角波,锯齿波-Microcontroller-based function generator, c programming language, can produce amplitude, variable frequency sine wave, square wave, triangle wave, sawtooth
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:66179
    • 提供者:关玉木
« 1 2 3 4 5 67 8 9 10 11 12 13 »
搜珍网 www.dssz.com