CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 波形 wave

搜索资源列表

  1. 8.23-

    0下载:
  2. -功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 -- Function: 4 kinds of common sine, triangle, sawtooth, square wave (A, B) the frequency, amplitude controllable output (square wave- A duty cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:11370
    • 提供者:陈伟杰
  1. RISC_CPU

    0下载:
  2. 1. RISC工作每执行一条指令需要八个时钟周期。RISC的复位和启动通过rst控制,rst高电平有效。Rst为低时,第一个fetch到达时CPU开始工作从Rom的000处开始读取指令,前三个周期用于读指令。 在对总线进行读取操作时,第3.5个周期处,存储器或端口地址就输出到地址总线上,第4--6个时钟周期,读信号rd有效,读取数据到总线,逻辑运算。第7个时钟周期,rd无效,第7.5个时钟地址输出PC地址,为下一个指令做好准备 对总线写操作时,在第3.5个时钟周期处,建立写的地址,第
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:1025903
    • 提供者:宋颖
  1. myfir

    1下载:
  2. verilog编写的16阶升余弦滤波器 采用直接型结构实现 对方波进行滤波 输出波形 含testbench文件-order raised cosine filter verilog written 16 direct-type structure to achieve the other wave filtering the output waveform containing testbench file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:908
    • 提供者:yangyang
  1. _12_DA[TLC5615]_1

    0下载:
  2. 通过DA输出正弦波,频率1KHz ad采用的是TLC5615 输出的正弦波与理想波形基本一样-DA output sine wave frequency 1KHz The ad is TLC5615 The sine wave output with the ideal waveform is basically the same
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-03
    • 文件大小:2993360
    • 提供者:江风
  1. func_gen

    0下载:
  2. 实现一个简易函数信号发生器的功能。系统上电后,默认输出低电平(无波形),默认初始频率为1KHz。波形输出频率可由开发板上的拨码开关SW0~SW3调节,可调范围为1KHz到2KHz,步进量为100Hz。波形由开发板上的DAC_A口输出。共有方波和三角波,正弦波三种波形可供选择,用户只需将开发板上的拨码开关SW6~SW7置成不同取值组合,就可以输出不同波形。其中方波的占空比可以用开发板上的按键开关BTN0~BTN1调节。系统重置按键为开发板上的按键开关BT7。另外,实验验收后我继续加入了锯齿波输出的
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:89273
    • 提供者:李丛阳
  1. FPGA_Function_v3

    0下载:
  2. 基于cpld的多波形信号发生器。 可产生方波,三角波,正弦波,锯齿波。 可以通过一组拨码开关进行频率增加和减少。其中频率在100~1000hz不连续变化。-Based on multi-waveform signal generator cpld. Can produce a square wave, triangle wave, sine wave, sawtooth wave. Through a set of DIP switch frequency increases and d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:574565
    • 提供者:解雨辰
  1. hsk4571_sgna_generator

    0下载:
  2. 信号发生器的VHDL实现,可调节波形及频率,方波、锯齿波、三角波等,在QUATTUS||9.0下编写,可在9.0及以上版本运行并下载,芯片为Altera的Cyclone3 EP3C8T1-Signal Generator VHDL implementation, adjustable waveform and frequency, square wave, sawtooth, triangle, etc., in QUATTUS | | 9.0 under preparation, can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:9726474
    • 提供者:hongsk
  1. a

    0下载:
  2. 函数发生器由波形选择开关控制波形的输出,分别能输出方波、三角波、正弦波波形-Function generator waveform by the waveform selector switch control output, respectively, can output square wave, triangle wave, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:19738
    • 提供者:张木月
  1. zhengxianbo

    0下载:
  2. 正弦波发生器,基于verilog语言编写的,不用用DAC模块,直接输出0和1电频,经过RC滤波后就可得到波形-Sine wave generator, based on verilog language, do not use the DAC module, direct output power frequency 0 and 1, RC-filtered waveform obtained after
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2087509
    • 提供者:王凌
  1. dds_again

    0下载:
  2. 基于FPGA的DDS。可以产生三种波形:正弦,方波,三角波。频率分辨率0.012Hz。频率从0至25MHz任意可调。-FPGA-based DDS. Can produce three waveforms: sine, square, triangle wave. Frequency resolution 0.012Hz. Frequency is adjustable from 0 to 25MHz.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1530695
    • 提供者:王志瑞
  1. FPGA-based-PWM-generator

    0下载:
  2. 基于FPGA的PWM发生器,将所需的正弦波和三角波转化为数据文件,存入存储器中,用计数器逐一读取产生波形-FPGA-based PWM generator, the desired sine wave and triangular wave into a data file into memory, and one by one to read the counter generates a waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1966529
    • 提供者:
  1. NIOSII_TFT

    0下载:
  2. 基于FPGA的NIOSII_TFT做的做的正弦波很连贯的显示在液晶屏上面,FPGA主要做信号的产生,而NIOSII主要是驱动液晶画活出波形!-FPGA-based NIOSII_TFT do make a very coherent sine wave displayed on the LCD screen above, FPGA mainly to do signal generation, while NIOSII mainly driven liquid crystal painting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6558107
    • 提供者:杨云
  1. xinhaoyuan

    0下载:
  2. DDS产生多种波形信号发生器,包括正弦波,三角波,方波,锯齿波。运行于Altera Cyclone FPGA平台。-DDS signal generator generates a variety of waveforms including sine, triangle wave, square wave, sawtooth wave. Running on Altera Cyclone FPGA platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:61075
    • 提供者:qiao
  1. DDS4.mdl

    0下载:
  2. DDS(快速正交调制)生成正弦波形,利用相位累加字进行累加,查找查找表内容输出正弦数据,在通信领域应用很多,我采用的是matlab的simulink进行前期仿真-DDS (fast quadrature modulation) to generate sine wave, the use of the word to accumulate phase accumulation, content output sine lookup table lookup data in many applic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:11910
    • 提供者:lu
  1. src

    0下载:
  2. 波形发生器,可产生方波,正弦波,三角波,锯齿波,幅度可调,频率可调-Waveform generator can produce a square wave, sine wave, triangle wave, sawtooth wave, amplitude adjustable, adjustable frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:53533
    • 提供者:程魏
  1. freq_k

    0下载:
  2. 基于basys2的频率计,可以生成三角波等波形,需要外接电路-Based basys2 frequency counter can generate triangular wave waveform, the need for external circuitry
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1993698
    • 提供者:张文
  1. wave_freq

    0下载:
  2. 在VHDL/verilog环境下产生可调频率的波形,如三角波,方波,矩形波,同时支持计数功能,供参考-Adjustable frequency waveform generator in VHDL/verilog environment, such as triangle wave, square wave, rectangular wave, while supporting the counting function, for reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-07
    • 文件大小:1818624
    • 提供者:haoyuguang
  1. zonghe---20140113

    1下载:
  2. 本人初学所写,能实现通过串口向FPGA发信号,经由DA产生3种频率的3种波形,另附有仿真波形,FPGA选用EP2C8Q208C8-I wrote a novice can achieve signal to the FPGA via the DA to produce three kinds of wave three kinds of frequencies through the serial port, attached a simulation waveforms, FPGA selec
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:3111936
    • 提供者:JX
  1. DDS_ad9851

    0下载:
  2. 该代码是基于FPGA的的DDS_ad9851的代码,用于产生正弦波,其中可以调节频率等波形特性-The code is the code DDS_ad9851 of FPGA-based, and used to generate a sine wave, which can adjust the frequency of the waveform characteristics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:636510
    • 提供者:飞鹰
  1. dds

    0下载:
  2. 在altera的FPGA上实现直接数字频率合成,即用verilog实现DDS,输出正弦波形,在modelsim软件中仿真通过,已包含所有代码和工程以及二进制流文件。-The realization of direct digital frequency synthesis in the Altera FPGA, which is implemented by Verilog DDS, the output sine wave, through the simulation in Modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:694210
    • 提供者:汪少锋
« 1 2 3 4 5 6 78 9 »
搜珍网 www.dssz.com