CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 波形 wave

搜索资源列表

  1. Example-b8-4

    0下载:
  2. ModelSim的波形比较的功能可以将当前仿真与一个参考数据(WLF文件)进行比较,比较的结果可以在波形窗口或者列表窗口中查看-Comparison of the ModelSim wave functions , compare the results can be viewed on the waveform window or the list window
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7924
    • 提供者:lihao
  1. myproj

    0下载:
  2. 1) 可以产生四种波形:正弦波,方波,三角波,锯齿波。 2) 实现分频可调,分频比从2~256可调,通过两个按键进行+1和-1的调整。 3) 信号幅度可调,幅度增益从1~4倍可调,过两个按键进行+1和-1的调整。 4) 8位数码管的前3位显示分频比,最后一位显示幅度增益,中间的四位分别代表四种波形是否输出,若输出则显示’1’,否则显示’0’。 5) 可实现四种波形的叠加,当有两种波形叠加时,增益不能超过3,当是四种或三种波形叠加时,增益只能为1. -1) can produc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:170872
    • 提供者:陈伟豪
  1. DDS

    0下载:
  2. 基于FPGA的数字信号合成器(DDS),采用VHDL语言编写,能够实现正弦波、三角波、方波、锯齿波这四种波形的产生。 提示:最后输出的模块是串行DA,可根据具体情况更改驱动。-Digital synthesizer (DDS) based on FPGA, using VHDL language, to achieve sine wave, triangle wave, square wave, sawtooth waveform generation four. Tip: The la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2800194
    • 提供者:康二栋
  1. wave_gen

    0下载:
  2. 波形发生器,可以产生正弦波,锯齿波,方波。Verilog语言编写-Waveform generator, can generate sine wave, sawtooth wave, square wave. Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:771192
    • 提供者:890921
  1. DDS_sinwave

    0下载:
  2. 基于FPGA对DDS芯片的仿真。能产生10M以上正弦波。并且波形不失真。-Simulation of DDS chip based on FPGA. Can produce more than 10M sine wave. And the waveform is not distorted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:21006
    • 提供者:dalizi
  1. sin_quartus9.0

    0下载:
  2. 用Verilog实现不同相位的正弦波波形输出,使用到ROM查表方式,对不同相位的地址进行合成后查表得到不同相位的正弦波。-Implementation of Sine wave output with different phase.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4234132
    • 提供者:俞少迪
  1. dds

    0下载:
  2. 基于FPGA的DDS程序代码,实现的功能强大可以输正弦波,三角波,方波等波形,并且频率可以调节。实现对应的功能强大。-FPGA-based DDS program code can achieve powerful output sine wave, triangle wave, square wave waveform and frequency can be adjusted. Implement corresponding powerful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:290931
    • 提供者:黄兴
  1. rom

    0下载:
  2. uart 通用异步收发传输器 接收模块和发送模块 附带了常用的波形 三角波 和正弦波-uart universal asynchronous receiver transmitter receiver module and transmitter modules come with a common triangular wave and sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6234446
    • 提供者:王伟
  1. dds

    0下载:
  2. 直接数字频率合成法产生正弦波,方波,锯齿波,三角波等基本波形。-Generate sine wave, direct digital frequency synthesis method of square wave, sawtooth wave, triangle wave and other basic waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1409
    • 提供者:marui
  1. bixiang

    0下载:
  2. 程序的主要功能是实现两个波形的相位比较,并把输方波。-The main function of the program is to achieve the phase comparison of the two waveforms, and the transmission of the square wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:9887
    • 提供者:
  1. 1

    0下载:
  2. 使用89C51单片机制作简易信号发生器,可以实现4种波形。正弦波,锯齿波,方波,三角波。信号频率1HZ—10KHZ-Use 89C51 microcontroller production of simple signal generator, can achieve four waveforms. Sine, sawtooth, square, triangle wave. Signal frequency 1HZ-10KHZ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4079
    • 提供者:吴晓宸
  1. boxingfashengqi

    0下载:
  2. 波形发生器的源代码,有正弦波,三角波,锯齿波,方波。modelsim仿真,包含testbench仿真代码,testbench用的verilog编写,波形发生器源代码用的VHDL编写。-Waveform generator source code, sine, triangle, sawtooth, square wave. modelsim simulation, testbench simulation code contains, verilog write testbench use, w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4753911
    • 提供者:hbxgwjl
  1. project_wave

    0下载:
  2. 波形发生器,生成三角波和正弦波,功能较为简单,可以通过改变频率控制字来改变输出波形状态-Waveform generator to generate triangular wave and sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9876045
    • 提供者:吴明华
  1. Signal-Generator-VHDL-design

    0下载:
  2. 信号发生器VHDL设计 波形可选:正弦(sine),方波(sqr),锯齿波(jc_de和jc_in两种),三角波(sanj)和阶梯波(stair)信号模块-Optional waveform signal generator VHDL design: sinusoidal (sine), square wave (sqr), sawtooth (jc_de and jc_in two kinds), triangle wave (sanj) and staircase (stair) sig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:758623
    • 提供者:
  1. dds_generater

    0下载:
  2. 波形发生器,可以生成正弦波、三角波、方波、锯齿波;可以选择输出频率和幅度,基于DDS设计,verilog和QuartusII开发-Waveform generator can generate sine, triangle, square wave, sawtooth wave you can the output frequency and amplitude, DDS-based design, verilog and development QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5154290
    • 提供者:zhang
  1. FPGA_WAVE

    0下载:
  2. FPGA VERILOG产生任意波形的信号-wave fpga verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:5119562
    • 提供者:china
  1. zx3016_shiboqi

    0下载:
  2. vhdl语言编写的示波器,能够显示三角波,方波,锯齿波等波形,能够切换波形-vhdl language oscilloscope can display a triangular wave, square wave, sawtooth waveform, capable of switching waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1543761
    • 提供者:朱翔
  1. zx3016_generator

    0下载:
  2. 示波器文件,能够产生方波、正弦波、方波,且能够切换波形,并能够切换波形的周期-Cycle oscilloscope file, can produce a square wave, sine wave, square wave, and is capable of switching waveforms, and capable of switching waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-20
    • 文件大小:30614528
    • 提供者:朱翔
  1. verilog-sin

    0下载:
  2. FPGA开发sin波形,用verilog写的正弦波发生器。-FPGA development sin wave with verilog write sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:6964
    • 提供者:蒋壮
  1. DDS

    4下载:
  2. 信号发生器设计 信号发生器由波形选择开关控制波形的输出, 分别能输出正弦波、方波和三角波三种波形, 波形的周期为2秒(由40M有源晶振分频控制)。考虑程序的容量,每种波形在一个周期内均取16个取样点,每个样点数据是8位(数值范围:00000000~11111111)。要求将D/A变换前的8位二进制数据(以十进制方式)输出到数码管动态演示出来。-Signal generator design The signal generator is controlled by waveform se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8041746
    • 提供者:韩大马
« 1 2 3 4 5 6 7 89 »
搜珍网 www.dssz.com