CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 流水灯

搜索资源列表

  1. LampsSequencer

    0下载:
  2. FPGA流水灯实验,VERILOG编写,简单的学习程序-FPGA light water experiments, VERILOG written, simple learning process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:421918
    • 提供者:小刘
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. _3_rider_led

    0下载:
  2. verilog流水灯源码,适合初学者,cyclone-water light source verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:42294
    • 提供者:光芒电子
  1. _4_water_led

    0下载:
  2. 这是verilog写的流水灯源码,适合初学者做实验用-It is written in verilog water light source, suitable for beginners to experiment with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:42424
    • 提供者:光芒电子
  1. liushuideng

    0下载:
  2. 可以控制流水方向的基于VHDL的流水灯,开关控制流向-VHDL liushuideng
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:228957
    • 提供者:hu
  1. 2

    0下载:
  2. 一个简单的流水灯程序,可以直接在开发板上跑起来的,功能完善-A simple water light program, you can run it directly on the development board, the functional
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:292354
    • 提供者:jim
  1. test01

    0下载:
  2. 利用状态机编写的流水灯的VHDL程序源代码,基于xilinx公司的spartan3E入门级开发板。-Water prepared by a state machine VHDL source code lights, based on the company s spartan3E xilinx entry-level development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:645
    • 提供者:仝信
  1. demo1-LampsSequencer

    0下载:
  2. 流水灯实验 在LED上显示流水灯,新手上路-LED lights on the experiment in water water light show, a beginner on the road
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:105322
    • 提供者:cgb
  1. de1_t1

    0下载:
  2. DE1的LED流水灯,数码管显示,可修改参数完成自定义的显示,有UART串口通信代码,VGA信号显示图片。-DE1 of the LED water lamp, LED display, you can modify the parameters to complete a custom show that UART serial communication code, VGA signal display pictures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-21
    • 文件大小:38280192
    • 提供者:guowei
  1. ledwatertest

    0下载:
  2. 一个用verilog 编写的流水灯程序,对于初学者比较有用,主要用于理解状态机转换。-Written in a flowing light with verilog program more useful for beginners, mainly for the understanding of the state machine transition.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:36313
    • 提供者:huangying
  1. led

    0下载:
  2. ALTERA公司的FPGA开发板的流水灯显示VHDL程序-LED DISPIAY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:664
    • 提供者:周慧
  1. verilogshiyansoure37

    0下载:
  2. verilog实验的基本程序,包括状态机、数码管、流水灯、蜂鸣器、点阵、键盘等等,超详细的程序、适合初学者-verilog basic experimental procedures, including the state machine, digital control, water lights, buzzers, dot matrix, keyboard, etc., super detailed procedures, suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:48450
    • 提供者:郑海
  1. flowingled_top

    0下载:
  2. 基于VHDL语言实现流水灯功能,并已在FPGA开发板上完成测试-VHDL language based on light water features, and has completed testing in FPGA development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1066
    • 提供者:liuhang
  1. second

    0下载:
  2. 实现spartan3E板上的流水灯,是用verilog语言写成的,简单易用-Water board to achieve spartan3E lights, is written in verilog language, easy to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13596
    • 提供者:梅务昆
  1. LED

    0下载:
  2. LED流水灯,结合滑动开关,有4种不同的闪灯模式。-LED water lamp, with slide switch, there are 4 different flash modes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:411170
    • 提供者:姚武
  1. int_div

    0下载:
  2. 这是流水灯的分频程序,可能不是很完善,欢迎大家下载。-This is the light frequency water program, may not be perfect, welcome to download.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:954
    • 提供者:dys
  1. johnsonverilog

    0下载:
  2. 本verilog代码实现了johnson计数器,也就是控制流水灯的程序,具体为从左到右和从右到左以及停止的流水灯操作-The verilog code of the johnson counter, that is, water lamp control procedures, specifically for the left to right and from right to left and stop the flow lamp operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:318634
    • 提供者:张扬
  1. exp2

    0下载:
  2. 在显示自己学号的同时做流水灯程序。数码管显示与流水灯显示的刷新率不同-Show their student ID at the same time doing light water programs. LED display LED display with a refresh rate of the different water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:77441
    • 提供者:
  1. LED

    0下载:
  2. 基于EP1C6Q240的流水灯设计,简单易懂,调试通过,基于quartus6.0-The water-based EP1C6Q240 light design, easy to understand, debug through, based on quartus6.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:249732
    • 提供者:
  1. led_flow

    0下载:
  2. 基于niosII实现的控制流水灯的小系统,对于sopc初学者理解sopc概念以及pio内核很重要-To achieve control based on niosII small light water system, for beginners to understand sopc sopc concept is very important and pio kernel
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4279596
    • 提供者:cc
« 1 2 3 4 5 67 8 9 10 11 ... 20 »
搜珍网 www.dssz.com