CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 电路 分析

搜索资源列表

  1. music

    0下载:
  2. 完成一个简易的音乐播放器,可以完成上一曲,下一曲,顺序播放,停止,暂停和液晶显示,同时还增加了单曲循环播放功能。在理论分析的基础上,用VHDL语言编写源代码,再配合具体电路连接,实现对蜂鸣器振动的控制,以及对各项显示功能的切换控制等。-Complete a simple music player that can be completed on a next one, the order of play, stop, pause, and liquid crystal display, but
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:152761
    • 提供者:谷丰
  1. FPGA-CPLD

    0下载:
  2. FPGA/CPLD设计经验分享,数字电路设计中的经典问题分析,很实用。-FPGA/CPLD design experience sharing, digital circuit design of the classic analysis, it is practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:967028
    • 提供者:蒋西
  1. FPGA-based-design-vending-machines

    0下载:
  2. 本系统采用了Altera的开发软件的Quarts II。该软件平台进行数字电路设计和仿真的方法;阐述了VHDL(Very High Speed Integrated Circuit Hardware Descr iption Language)语言的一些特点及语法结构;介绍了自动售货机系统的基本原理、系统组成和主要功能;并分析讨论了用VHDL语言开发自动售货机系统的设计流程。本设计利用Altera公司的开发软件Quartus II平台,采用VHDL硬件描述语言编程的设计方法设计系统核心电路的硬件
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:217741
    • 提供者:
  1. sqrt-base-on-fpga

    0下载:
  2. 对一种改进的不恢复余数的开方算法(non - restoring square - root algorithm)进行了讨论 ,并将其应用于基于 IEEE 754 标准的32 位浮点格式的开方运算中 ,以一款 FPGA 为载体 ,实现了进行运算的基本电路。对目前存在的几种开方 算法进行了评述 ,分析了他们的优缺点 ,提出了改进的不恢复余数开方算法模块化的设计思路与关键电路 ,并分析了仿真和 逻辑综合的结果 ,证明了该算法运算速度较快且占用资源极少的特点。-An improved no
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:125577
    • 提供者:
  1. EDA

    0下载:
  2. 掌握在MAX+plusII环境下用文本编辑方式进行基本逻辑电路设计的方法。(2) 掌握在MAX+plusII环境下用对基本逻辑电路设计结果进行波形仿真验证的方法。(3) 掌握在MAX+plusII环境下用对仿真波形进行分析的方法 -(1)Master in the MAX+ plusII environment with text editing means basic logic circuit design method. (2) master in the MAX+ plusII e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:186710
    • 提供者:媛媛
  1. Hua-Wei-ASICaVerilogaHardware

    0下载:
  2. 华为内部资料,整理分享给大家,内容如下: 1.Proverilog编码规范(草案) 2.华为_Verilog HDL电路设计指导书 3.华为内部培训资料linux 基础 4.华为同步电路设计规范 5.华为-硬件工程师 6.静态时序分析与逻辑设计- Huawei internal books, organize to share to you, reads as follows: 1.Proverilog coding standard (draft) 2.th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2275558
    • 提供者:dou
  1. 11111

    0下载:
  2. 1、用FPGA/CPLD实现HS162字符液晶显示。 2、分析相应的功能要求,分析CPLD与字符液晶HS162的接口典型电路。 3、利用状态机的设计方法,通过指令编程实现对HS162-4液晶模块的读/写操作,以及屏幕和光标的操作。 4、编写模块的Verilog HDL语言的设计程序。 5、在Quartus II软件或其他EDA软件上完成设计和仿真。 -This design of a CPLD-based controls HS162 to achieve character
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1046396
    • 提供者:kevin mk li
  1. chuzuchejijiaxitongdeFPGAsheji

    0下载:
  2. 介绍了出租车计价器系统在实际生产生活中的重要性。根据预定的设计要求和设计思路,使用VHDL硬件描述 语言设计了一个实际的基于AlteraFPGA芯片的出租车计价系统,介绍了该系统的电路结构和程序设计。通过实验模拟, 得到了关键的设计结果和在QuartusII5.0软件下的仿真波形。经分析软件仿真的波形和硬件调试结果,证明该出租车计 价系统具有实用出租车计价器的基本功能,如能进一步完善,将可以实用化和市场化。-In this paper, theimportanceof taximet
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:552990
    • 提供者:Ruirui
  1. FPGA-based-multi-Divider

    0下载:
  2. 分频器是指使输出信号频率为输入信号频率1/N的电子电路,N是分频系数。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。 本文当中,在分析研究和总结了分频技术的发展趋势的基础上,以实用、可靠、经济等设计原则为目标,介绍了基于FPGA的多种分频器的设计思路和实现方法。本设计采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计文件,在QuartusⅡ工具软件环境下
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:4696
    • 提供者:吴红梅
  1. Asynchronous-FIFO-structureadesign

    0下载:
  2. 异步FIFO结构和FPGA设计,首先介绍异步FIFO的概念、应用及其结构,然后分析实现异步FIFO的难点问题及其解决办法;在传统设计的基础上提出一种新颖的电路结构并对其进行综合仿真和FPGA实现-The asynchronous FIFO structure and FPGA design, first introduced the asynchronous FIFO concept, application, and its structure, and then analyze the as
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:130195
    • 提供者:杨光
  1. AD0809-sampling-RS232

    0下载:
  2. 这是个AD0809信号采集与频谱分析电路设计,里面包含RS232接口通信状态机,LPM-RAM数据缓冲,A/D采样控制状态机,RS232通信适配电路。-This is an AD0809 signal acquisition and spectrum analysis circuit design, which includes RS232 interface communication state machine, LPM-RAM data buffering, A/D sampling co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:1552287
    • 提供者:洪建峰
  1. SZ-VHDL

    0下载:
  2. 系统数字逻辑电路设计方法以及示例的介绍,分析较好,有价值-System digital logic circuit design methods and introduce examples, analyze good and valuable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3835002
    • 提供者:lh
  1. case-and-if-programing-in-verilog

    0下载:
  2. Case语句和if语句在电路设计中的注意事项,各种产生锁存器的原因分析,以及原代码-case and if using in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4842
    • 提供者:谷雨
  1. IDCT

    0下载:
  2. HEVC是正在研发的新一代视频编码标准。 本文面向HDTV应用,设计兼容HEVC标准的两位整数IDCT电路, 通过对IDCT的特点进行分析,完成了电路的架构设计, 采用较为节省面积的做法和流水线结构,并进行VerilogHDL代码设计-High Efficiency Video Coding(HEVC) is the currently developing video standard. In this article, a novel pipelined 2-D IDCT architect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5055
    • 提供者:毕翔宇
  1. NC-divider-design

    0下载:
  2. 1、 学习数控分频器的设计、分析和测试方法。 2、 了解和掌握分频电路实现的方法。 3、 掌握EDA技术的层次化设计方法。 -NC divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:88408
    • 提供者:漆广文
  1. LSFR

    0下载:
  2. 线性反馈移位寄存器通常用于实现数据压缩电路中的基于循环冗余码校验的特征分析,应用于需要用伪随机二进制数的应用中。基于vivado的程序设计(Linear feedback shift registers are usually used to perform signature analysis based on cyclic redundancy check in data compression circuits, and are applied to applications requir
  3. 所属分类:VHDL/FPGA/Verilog

  1. HDB3

    0下载:
  2. 按照要求对“数字基带信号HDB3译码器设计与建模”进行逻辑分析,了解HDB3译码器译码原理,了解各模块电路的逻辑功能,设计通信系统框图,画出实现电路原理图,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实验结果进行分析。(In accordance with the requirements of the logical analysis of the design and modeling of the digital baseband signal HDB3 decoder, H
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:8990720
    • 提供者:Remrinrin
« 1 2»
搜珍网 www.dssz.com