CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 移位相加 乘法器

搜索资源列表

  1. add_multi

    1下载:
  2. 移位相加硬件乘法器,基于FPGA的VHDL语言编写的,含有全部文件-displacement add hardware multiplier, based on FPGA VHDL prepared, containing all the documents
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3466
    • 提供者:相耀
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. mult

    0下载:
  2. 移位乘法器的输入为两个4位操作数a和b,启动乘法器由stb控制,clk信号提供系统定时。乘法器的结果为8位信号result,乘法结束后置信号done为1. 乘法算法采用原码移位乘法,即对两个操作数进行逐位的移位相加,迭代4次后输出结果。具体算法: 1. 被乘数和乘数的高位补0,扩展成8位。 2. 乘法依次向右移位,并检查其最低位,如果为1,则将被乘数和部分和相加,然后将被乘数向左移位;如果为0,则仅仅将被乘数向左移位。移位时,被乘数的低端和乘数的高端均移入0.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:130213
    • 提供者:良芯
  1. qfq.rar

    0下载:
  2. 移位相加乘法器设计。附有工程实例及ppt说明。,Add multiplier design shift. Ppt with example and descr iption.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1726903
    • 提供者:fddib
  1. multiplyingunit

    0下载:
  2. 其乘法器原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位-Its multiplier principle is: the sum of multiplication through each shift principle to achieve, from the lowest bit multiplicand to start, if 1, then the multiplier on the l
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:137159
    • 提供者:张华
  1. EDA

    1下载:
  2. 移位相加8位硬件乘法器电路设计,该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。-Add 8-bit hardware multiplier shift circuit design, the multiplier is composed of 8-bit adder to temporal order, 8-bit multiplier design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:34574
    • 提供者:zhangyue
  1. multiplier

    1下载:
  2. 该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。 其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。-The multiplier is 8-bit adder consisting of time-series design to the 8-bit multiplier. The multiplication principle is: the sum of multiplica
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:103487
    • 提供者:lsp
  1. post_norm_mul

    0下载:
  2. 符合IEEE754标准的32位浮点流水线乘法器 采用移位相加算法,-32-bit floating point pipeline multiplier on IEEE754 standard
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-29
    • 文件大小:2705
    • 提供者:Thomas
  1. multi8x8

    0下载:
  2. 用VHDL设计应用移位相加原理的8位乘法器,使用QuartusII仿真验证。-VHDL design applications with the principle of adding 8-bit shift multiplier, using QuartusII simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:6372
    • 提供者:李晓
  1. multiplier

    0下载:
  2. 采用移位相加方法设计的串行乘法器,具有握手信号(输入启动信号,输出完成信号),采用状态机方法设计的源代码。-A serial multiplier with a handshake signals (input start signal, the output completion signal), designed by adder and shifter using a state machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:752
    • 提供者:
  1. 8multipler

    0下载:
  2. 用VHDL实现8位移位相加乘法器,从被乘数的最低位开始,若为1,则乘数左移后与上次的和相加;若为0,左移后以全0相加,直至被乘数的最高位。-VHDL 8-bit shift by adding the multiplier to achieve, starting from the lowest multiplicand, if 1, then left after the multiplier and add the last if 0, left after adding all 0, u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1268
    • 提供者:ruanxioafei
  1. multiply_shift_add

    0下载:
  2. 基于移位相加运算的乘法器设计,multiply_shift_add中包含了完整的设计工程文件,可在Xilinx ISE中运行-Adding operation based on shift on time-multiplier design, multiply_shift_add contains the complete design engineering documents, Xilinx ISE in running
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1067855
    • 提供者:chenlan
  1. mul_ser12

    0下载:
  2. 本源码是用Verilog编写的12位移位相加乘法器的设计源码,开发软件为MAX+PLUS,已经测试通过。-The Verilog source code is written in the sum of 12-bit shift multiplier design source code, developing software for the MAX+ PLUS, has been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:305555
    • 提供者:Aaran
  1. 8bit-Shift-and-Adder--multiplier

    0下载:
  2. 8位乘法器,经移位相加算法来实现的,用的VHDL语言-8-bit multiplier, adding the algorithm to realize the shift of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:584933
    • 提供者:Aaran
  1. MULT8

    0下载:
  2. 用移位相加的算法实现了8位乘法器,文档包含程序,并有详细分析过程-Shift algorithm with the sum of the 8-bit multiplier, the document contains procedures, and detailed analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:41521
    • 提供者:sunflower
  1. MULTIPLE_CORE

    0下载:
  2. 硬件乘法器,其基础就是加法器结构,它已经是现代计算机中必不可少的一部分。[1]乘法器的模型就是基于“移位和相加”的算法。在该算法中,乘法器中每一个比特位都会产生一个局部乘积。第一个局部乘积由乘法器的LSB产生,第二个乘积由乘法器的第二位产生,以此类推。如果相应的乘数比特位是1,那么局部乘积就是被乘数的值,如果相应的乘数比特位是0,那么局部乘积全为0。每次局部乘积都向左移动一位。 -64-bit multiplier design experiment is the first in the HK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:26881
    • 提供者:尤恺元
  1. Sixteen-hardware-multiplier

    0下载:
  2. vhdl 编学基于移位相加的16位硬件乘法器。-vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:2016389
    • 提供者:陈凡
  1. mul

    0下载:
  2. VHDL实现通用乘法器,位数可以自定义,通过移位相加实现-VHDL generic multiplier, the median can customize the sum achieved by shifting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1153051
    • 提供者:小幂控
  1. multiply_shift_add

    0下载:
  2. 基于移位相加运算的乘法器设计,完整的设计工程文件在multiply_shift_add文件夹下-Multiplier design based on shift and add operations, complete design engineering file multiply_shift_add file folder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:1496624
    • 提供者:xiebaiyuan
  1. multiply_8_VHDL

    0下载:
  2. 由8 位加法器构成的以时序方式设计的8 位乘法器,采用逐项移位相加的方 法来实现相乘的VHDL程序代码。包含几个小模块和一个顶层设计文件,运行可用。-an 8 bit multiplier combined with 8 bit adder using a design by way of timing,and it use a way of Itemized shift to implement the multiply.It include some little module and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2587
    • 提供者:
« 12 »
搜珍网 www.dssz.com