CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 贪吃蛇小游戏

搜索资源列表

  1. snake

    0下载:
  2. 用VHDL语言编写的贪吃蛇小游戏。利用有限状态机原理,对不同情况判断得到下一步操作参数。程序下载能够运行-VHDL language with the Snake game. Finite state machine theory, judging by the different operating parameters for the next step. Download to run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1077915
    • 提供者:river
  1. tanchishe

    0下载:
  2. 用VHDL语言编写的贪吃蛇小游戏,在开发板上验证过,可以很好运行-Snake with VHDL language game, the development board verified, well run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1486649
    • 提供者:dingdanfei
  1. tanchishe

    1下载:
  2. verilog编写的贪吃蛇小游戏,能够在vga上显示,可以通过sp3键盘控制蛇的运动,吃食物-verilog prepared by the Snake game, vga on display by sp3 keyboard to control the movement of the snake to eat the food
  3. 所属分类:VHDL编程

    • 发布日期:2016-06-14
    • 文件大小:20154
    • 提供者:
  1. tanchishe

    0下载:
  2. 用硬件描述语言VHDL编写的小游戏,可下载到实验板上实现在8*8的点阵上的贪吃蛇游戏-Written using a hardware descr iption language VHDL game can be downloaded to the experimental board to achieve the 8* 8 dot matrix, Snake game
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:577610
    • 提供者:王凯鹏
  1. snake

    0下载:
  2. 贪吃蛇小游戏,利用FPGA,在显示屏上显示贪吃蛇游戏,由键盘来控制-Snake game
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2429545
    • 提供者:awswxy
  1. greedy_snake

    0下载:
  2. 基于Basys2开发板实现VGA输出,PS/2键盘接入的贪吃蛇游戏,键盘上下左右控制方向,小键盘+键控制速度,小键盘回车开始游戏,空格暂停游戏。-Basys2 based development board to achieve VGA output, PS/2 keyboard access Snake game, up and down the keyboard to control the direction, speed control keypad+ key keypad Enter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1529843
    • 提供者:小雨
  1. SUN

    0下载:
  2. 基于nios的点阵贪吃蛇游戏设计,可外接PS2小键盘操作游戏-Nios based on lattice Snake game, you can add a small keyboard PS2 games
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14512105
    • 提供者:lanxiaojun
  1. 31_Greedy_snake

    0下载:
  2. 贪吃蛇小游戏 verilogHDL语言描述 基于xilinxsparten6板子 -Snake game verilogHDL descr iption language based xilinxsparten6 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7327571
    • 提供者:dongshi
  1. VGA显示贪吃蛇(286116)

    0下载:
  2. 基于FPGA的贪吃蛇小游戏设计程序源代码和调试方式(FPGA based Snake game design program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-06
    • 文件大小:13964288
    • 提供者:linxu1
  1. Greedy_snake

    0下载:
  2. 用FPGA实现贪吃蛇小游戏,四个按键控制方向,VGA显示器显示游戏界面(Use FPGA to realize the snake game)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-11-18
    • 文件大小:7324672
    • 提供者:拉篮及
  1. 基于Basys3的贪吃蛇小游戏

    1下载:
  2. 基于Basy3的贪吃蛇小游戏,实现了相关功能。(Snake Eating Game Based on Basy3)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-22
    • 文件大小:3743744
    • 提供者:PeterScholze
搜珍网 www.dssz.com