CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 键盘编码

搜索资源列表

  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. PS2keyboard_verilog

    0下载:
  2. 本实验利用PS2接口实现了与键盘通信,并将键盘的按键编码通过UART接口上传给PC的超级终端,通过超级终端来观察按键编码是否正确。 -experimental use of the PS2 interface with the keyboard communications, and keyboard buttons coding through UART interface to the PC upload the Super Terminal, Super Terminal throug
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17327
    • 提供者:dragon
  1. ps2_1

    0下载:
  2. EDA实验--PS2键盘实验:利用键盘作为输入设备,显示器作为输出设备,FPGA将键盘输入的数字键的编码进行编码,然后在显示器上显示。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2485
    • 提供者:黄龙
  1. key_4x4.rar

    0下载:
  2. 4x4键盘结合LED动态显示,里面包含了键盘扫描、2进制转10进制BCD码、LED编码和LED动态显示,4x4 keyboard combination LED dynamic display, which contains the keyboard scan, 2 to 10 hexadecimal BCD hex code, LED codes and LED dynamic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:134368
    • 提供者:hao
  1. ps2_fpga

    0下载:
  2. 键盘输入,在数码管显示对应按键的编码,从中了解键盘输入原理-Keyboard input, the digital display corresponds to the encoding keys
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:412360
    • 提供者:chen
  1. ps2test

    0下载:
  2. PS/2键盘接口实验: 将PC键盘接入板上PS/2接口,按下键盘任意键,LED等将显示键盘传来的编码-PS/2 keyboard interface experiment: the PC keyboard, access to on-board PS/2 interface, press any key on the keyboard, LED, etc. The code will display the keyboard came
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:106831
    • 提供者:panda
  1. ps2test

    0下载:
  2. 本代码功能为实现接收PS2键盘编码功能。 程序通过quartusII 8.1编译,使用verilog语言编写。 可在彬杰科技*BJTECH公司基于altera epm240的开发板上验证。 (开发板网址http://item.taobao.com/auction/item_detail-0db1-69fe7069aa3ba544abf783bc4427b377.htm) 有需要的朋友可以下载参考-The code functions to achieve the receiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:119182
    • 提供者:彬杰科技
  1. ps2

    0下载:
  2. 键盘扫描+编码 还有部分LCD显示与测试的-Keyboard scan+ coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:127081
    • 提供者:周桂军
  1. ps2

    0下载:
  2. 基于vhdl的ps2键盘编码显示模块,经测试,效果非常好-Vhdl coding based on the ps2 keyboard display module, tested, very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:393258
    • 提供者:zhang
  1. PS2-keyboard

    0下载:
  2. 接收PS2键盘编码,有51单片机上调试通过编写于2010年9月-Receive PS2 keyboard encoding, there are 51 single-chip debugging through writing in September 2010
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:16154
    • 提供者:梁宗欢
  1. PS2

    0下载:
  2. PS2程序——键盘发送一个按键 通过寄存器将其ASCI编码转换成十六进制 在数码管上显示----本人已在LYC FREE EDA开发板上验证通过-PS2 program-the keyboard to send a button through the register will be the ASCI coding convert hex in digital tube display-I have in LYC FREE EDA development board through verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:354753
    • 提供者:
  1. keyboard_test

    0下载:
  2. 4×4键盘扫描程序。用VHDL语言写的键盘扫描编码输出的程序。-4 x4 keyboard scanning procedures. Written in VHDL language keyboard scan code output program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:258183
    • 提供者:kai
  1. ps2

    0下载:
  2. fpga ps2接口控制 能够实现ps2键盘扫描 在数码管上显示出相应编码-fpga ps2 interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:948
    • 提供者:alex
  1. spartan3e_ps2

    0下载:
  2. verilog语言编写在spartan3e板子上实现,利用板子上的8个LED灯显示键盘输入的编码值。-the Verilog language spartan3e board, 8 LED lights on the board display keyboard input encoded value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:838718
    • 提供者:冬瓜
  1. PS2

    0下载:
  2. 基于FPGA的键盘PS第二类编码方式的verilog解码程序。 -FPGA keyboard PS encoding the verilog decoding procedures. FPGA keyboard PS encoding the verilog decoding procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:1133284
    • 提供者:tanbo
  1. VHDL-based-music-player-design

    0下载:
  2. 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl-For the I semester of 20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4927169
    • 提供者:刘志
  1. PS2_Demo_Sys_restored

    0下载:
  2. PS/2的键盘编码、解码演示系统的设计,verilog实现。-Keyboard coded PS/2, and decoding demonstration system design, verilog achieved.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1243398
    • 提供者:GaoMin
  1. jpb_ise12migration

    0下载:
  2. 旋转编码 功能性键盘编码 spi时序发送数据-cycle key code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:910206
    • 提供者:
  1. 4乘4键盘扫描控制器

    2下载:
  2. 1. 键值采用16进制编码,即16个按键分别对应显示16进制数 0~F,按键对应关系如下:最上面一行从左至右依次为0~3, 第二行从左至右依次为4~7,第三行从左至右依次为8~B,最 下面一行从左至右依次为C~F,其中b、d显示为小写,其他字 母大写; 2. 按键按下时显示当前键值并保持,直到下一按键被按下时更新 显示; 3. 只有按键被按下时蜂鸣器发出按键音,放开后蜂鸣器不发声。 4. 每个按键对应不同的按键音。(1. The key value is encoded in hexadecim
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-13
    • 文件大小:3444736
    • 提供者:Minbadly
« 12 »
搜珍网 www.dssz.com