CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 键盘

搜索资源列表

  1. mcu-fpga

    1下载:
  2. 目录 FPGA & MCU 开发板介绍 实验1 QuartusII 软件应用 实验2 Keil C51 应用 实验3 字符型LCD YM1602 的应用 实验4 带字库的中文LCD YM12864 的应用 实验5 时钟芯片DS1302 的应用 实验6 I2C 总线器件AT24C64 的应用 实验7 数字温度传感器的应用 实验8 行列式键盘 实验9 硬件电子琴的设计 实验10 AD 与DA 的使用 实验11 简易DDS 信号源设计 实验12 用模
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-08-02
    • 文件大小:1640448
    • 提供者:lyy
  1. EP2C8Q208C8N_Verilog

    0下载:
  2. EP2C8的一些基本程序 包括 键盘 LED LCD 蜂鸣器 -EP2C8 some basic procedures, including the keyboard LED LCD buzzer, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5898769
    • 提供者:lixiang
  1. jianpan

    0下载:
  2. 基于FPGA的Verilog的控制PS2数字小键盘并在数码管显示相应的数字-Verilog FPGA based control of PS2 numeric keypad and digital display the corresponding number
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1896
    • 提供者:吕恋恋
  1. FPGAshiyan(17)

    0下载:
  2. FPGA入门系列实验教程——实验十七.LCD1602显示PS2键盘的键值-Getting Started with FPGA tutorial series of experiments- experiments seventh. LCD1602 display key PS2 keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:483064
    • 提供者:lutangshi
  1. SRAM_WR

    0下载:
  2. 实现对SRAM的读写。具体功能:在DE2开发板上通过键盘SW0-SW3输入数据存入SRAM中,同时LEDR0=LEDR3显示输入数据;SW17控制SRAM的输入与读出,LEDR4-LEDR7显示读出结果。-To achieve the SRAM read and write. Specific features: In the DE2 development board via the keyboard SW0-SW3 input data into the SRAM, while LEDR0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:337809
    • 提供者:
  1. PS2_keyboard_A

    0下载:
  2. 配合fpga 来实现和ps2接口的键盘的连接,必须要用带ps2口的fpga开发板来实现-With the fpga to implement and ps2 keyboard interface connection, you must use the ps2 port with fpga development board to implement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:95174
    • 提供者:张强
  1. ps2_5

    0下载:
  2. 赛灵思fpga ps2键盘的驱动程序,测试通过-fpga ps2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4628136
    • 提供者:王明
  1. Key_Uart

    0下载:
  2. 我写的将PS/2键盘按下的值通过串口发送出去。-I wrote to PS/2 keyboard pressed values sent through the serial port.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:341571
    • 提供者:qinmingmin
  1. verilog-PS2-Keyboard

    0下载:
  2. veirlog编写的PS2键盘通讯程序, 并有PS2接口的相关说明, Quartus II 8.1工程文件-veirlog written communication procedures PS2 keyboard, and a PS2 interface instructions, Quartus II 8.1 project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:511555
    • 提供者:Joseph
  1. DDS-SIN

    0下载:
  2. 基于DDS原理的幅度,频率可调的正弦波发生器,内含单片机读键盘和1602的显示程序-DDS based on the principle of amplitude, frequency tunable sine wave generator that contains 1602 microcontroller read the keyboard and display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8982029
    • 提供者:weiwenfeng
  1. key1

    0下载:
  2. 矩阵键盘实验1:向用户介绍矩阵键盘扫描实现的方法,没有考虑去抖和判断键弹起的问题;把相应的键值显示在数码管上-Matrix Keyboard Lab 1: Introduction to the user to achieve the keyboard scan matrix approach, not considered to shake and bounce to determine key issues the corresponding keys on the display in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:827
    • 提供者:riversky
  1. bcd

    0下载:
  2. 4位二进制数转BCD码,由拨码键盘输入,结果由数码管显示-BCD 4-bit binary code switch from dial code keyboard input, the results from the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:665
    • 提供者:riversky
  1. ps2_rx

    0下载:
  2. 在VHDL里实现的ps2 键盘接口的接收端功能-Implemented in VHDL in the ps2 keyboard interface of the receiver functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1171
    • 提供者:Hong Chen
  1. 100VHDL

    0下载:
  2. vhdl的100个例程,供初学者参考包括分频,键盘,状态机等-100 examples of vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:44860
    • 提供者:张子健
  1. key_scan

    0下载:
  2. FPGA矩阵键盘扫描,是三乘以四规格的。对单片机和FPGA都适用-FPGA matrix keyboard scanning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:820
    • 提供者:gao
  1. PS2_zhujianweishibie_FPGA

    0下载:
  2. 实现了PS2接口的主键盘的识别,只要稍加修改就可以实现你所希望的功能,此程序只实现了LCD灯的控制。-Main achieved PS2 keyboard interface, the identification can be achieved as long as the slightly modified the function you want, this program only achieved control of LCD lights.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:399099
    • 提供者:
  1. PS2_jianpanshibie_FPGA

    0下载:
  2. 实现了PS2接口的主键盘和小键盘的识别,采用第二套键盘译码表,如果你想使用第一套或者第二套键盘译码,只需做少量的修改就可以实现。只要稍加修改就可以实现你所希望的功能,此程序只实现了LCD灯的控制。-PS2 interface implements the main keyboard and keypad recognition, using the second set of keyboard decoding table, if you want to use the first set or
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:519571
    • 提供者:
  1. eluosifangkuai

    0下载:
  2. 俄罗斯方块vhdl实现源码 硬件altera的FPGA 键盘 16*16点阵 数码管-Tetris source vhdl implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7503984
    • 提供者:束佳云
  1. ps2

    0下载:
  2. 本程序实现的是基于FPGA的ps/2键盘接口电路,能够在数码管上显示出键盘的输入内容!-This procedure is based on FPGA implementation of the ps/2 keyboard interface circuit, able to display the digital keyboard input!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:2025611
    • 提供者:张东林
  1. LCD_KEY

    0下载:
  2. Verilog代码,由4*4扫描式键盘输入数字或运算符号,数码管上显示数字、同时LCD上显示数字或字符。-Verilog code scanning from 4* 4 keyboard input number or operator symbol, displayed on the digital numbers displayed on the LCD while numbers or characters.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:17914
    • 提供者:刘涛
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 42 »
搜珍网 www.dssz.com