CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - BEEPER

搜索资源列表

  1. beep

    0下载:
  2. 利用vhdl语言控制蜂鸣器发出指定频率的音律.-by means of vhdl ,to tell us how to control beeper to produce designated frequencies sounds.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:197965
    • 提供者:Youngsun chao
  1. submit

    0下载:
  2. 用VHDL实现的双人飞机大战。支持PS/2和蜂鸣器。 需要两个CPLD核心协同完成。 含最终效果视频-Multiplayer air fight implemented in VHDL. PS/2 and beeper supported. Two CPLD cores are required to run this demo. Final video includes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13690283
    • 提供者:
搜珍网 www.dssz.com