CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - Bin

搜索资源列表

  1. UpdateApp1.2

    0下载:
  2. 这是FPGA的升级程序,C#发送bin文件给FX3,然后FX3再把数据通过SPI通信发送给FPGA-This upgrade program the FPGA, C# FX3 sent to the bin, and then FX3 data to the FPGA via SPI communications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:1266053
    • 提供者:buptlw
  1. Nexys4FFTDemo-master

    0下载:
  2. A simple Verilog example of a 4096pt FFT on analog input from a Nexys 4 XADC. The input is sampled at 1MSPS, oversampled to produce 14-bit samples at 62.5kHz, then sent to the FFT processing modules and passed through to PWM Audio out. The FFT output
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:181248
    • 提供者:jason912
  1. BinToHex

    0下载:
  2. este codigo convierte un numero bin de 8 bit a su entrada en hex para una pantalla lcd16x2
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-31
    • 文件大小:35840
    • 提供者:Dandelion100
  1. bin2ascii

    0下载:
  2. Bin to ascii converter, with leading zeros. Room for improvement, remove the leading zeros.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:9216
    • 提供者:xenfranco
« 1 2»
搜珍网 www.dssz.com