CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - C3

搜索资源列表

  1. dsfs

    0下载:
  2. 扫描信号从C3 ~C0送入,信号依序为1000 ->0100 ->0010 -> 0001->1000 循环,当扫描信号为1000时,则扫描第0行中的四个按键. 扫描信号为0100时,则扫描第1行中的四个按键, 以此类推.如果有按键被按下,则R3~R0的输出信号中会有一个为1,但我们还是是无法确定哪一个键被按下,必須要从R3 ~R0 的输出信号与C3~C0的-scan signal from C0 to C3 into the signal in order of 100
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:112873
    • 提供者:杨要强
  1. 单片机坐标定时器实验

    0下载:
  2. http://www.edacn.net/cgi-bin/forums.cgi?forum=7&topic=9127下,则R3~R0的输出信号中会有一个为1,但我们还是是无法确定哪一个键被按下,必須要从R3 ~R0 的输出信号与C3~C0的扫描信号共同決定那个按键被按下. 编写VHDL的构思: 外部接口包括: a. INPUT脚 : CLK , R3~R0. b. OUTPUT脚 : C3~C0 , DATA3~DATA0(辨别出的按键值). -7topic http://ww
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1559994
    • 提供者:杨要强
  1. c3

    0下载:
  2. 在FPGA实现的加法器实现的Veilog代码,应用软件为赛林思公司的ISE9.1-adder Veilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1762
    • 提供者:zhuyinchen
  1. shouhuoji

    0下载:
  2. 某自动售货机售A,B,C3种商品,他们的价格分别为1,3,4。 售票机进接受一元硬币。售货机面板上设有投币孔和退钱建,每种商品标识处有选择按键,上有指示灯表明当前投币说是否已经足够选买该商品。 -Vending machine sales to a certain A, B, C3 commodities, their prices were 1,3,4. Into the ticket vending machines to accept dollar coins. Coin dis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3507
    • 提供者:王玉
  1. c3

    0下载:
  2. VerilogHDL编写的8位加法器实现-bgfhgfhjgjhgj
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1763
    • 提供者:刘成
  1. emb-dev-c3-appsel

    0下载:
  2. vhdl code for altera ddr design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:4072031
    • 提供者:clement
  1. UART_taa

    0下载:
  2. MCU:DSPIC30F5011,开发环境:MPLAB8.76+C3.25编译器,实现microchip串口控制程序-MCU: DSPIC30F5011, development environment: MPLAB8.76 C3.25 compiler microchip serial control procedures to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:94819
    • 提供者:的的
  1. LED_ctrl

    0下载:
  2. altera C3系列FPGA的一个简单的LED例程,引脚已分配,可以直接使用~-C3 Altera series FPGA of a simple LED routines, pins have been allocated, can be directly used ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2120496
    • 提供者:打完
搜珍网 www.dssz.com