CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - Hello

搜索资源列表

  1. Hello

    0下载:
  2. DE2板上的hello程序,实现在8个七段译码器上循环显示hello
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:281498
    • 提供者:罗杰
  1. AvalonPwm

    0下载:
  2. SOPC实验--Hello World实验:启动Quartus II软件,选择File→New Project Wizard,在出现的对话框中填写项目名称 2、 点击Finish,然后选择“是”。选择Assignments→Device,改写各项内容。Family改为CycloneII,根据实验板上的器件选择相应的器件,本实验选择EP2C5T144C8,点击对话框中的Device & Pin Options,在Configuration中,选项Use Configuration Device为E
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1122994
    • 提供者:黄龙
  1. monileselect

    0下载:
  2. 手机号码归属地查询,代码详尽,简单易懂,欢迎使用!-hello!welcome to my code !thank you !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:554439
    • 提供者:fei ge
  1. Upload

    0下载:
  2. Hello Everyone, this site provides useful document to students like me those who are starts doing project in Programming field.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7438
    • 提供者:SURESH
  1. hello_flash

    0下载:
  2. hello_flash是ALTERA的NIOSII核的标准程序。读写FPGA外带的Flash。-ALTERA the hello_flash is standard procedure for nuclear NIOSII. Hit-and-run of the FPGA to read and write Flash.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1051
    • 提供者:王祥以
  1. HELLO

    0下载:
  2. 等精度频率计1hz-50khz,门控型号影响大,由p2.7脚嬴出-frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1672
    • 提供者:zoe zheng
  1. LCD-hello

    0下载:
  2. VHDL syntax hello world for LCD written in VHDL MAXII evaluation board EPM1270F256C5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1265
    • 提供者:soroush
  1. HelloLED

    0下载:
  2. nios下实现helloled灯点亮 用vhdl语言编写 quartus环境实现-nios achieve helloled lamp lit environment with the vhdl language quartus to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3974564
    • 提供者:PETER
  1. dfdfd

    0下载:
  2. hello fpga project hello fpga project-hello fpga project hello fpga project hello fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:126631
    • 提供者:ANNIYAN
  1. hello_world_small

    0下载:
  2. 采用altera mac核加88e111物理层芯片的千兆网方案,该文件是配置mac层和物理层的nios文件,基于hello world small工程。-88e111 by altera mac core and Gigabit Ethernet physical layer chip of the program, the file is configured mac layer and physical layer nios file, based on hello world small
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:870
    • 提供者:pupu
  1. hello_world

    0下载:
  2. FPGA SOPC设计的uart串口 NIOS II中的程序 自己亲自做的 在串口调试工具中成功调试-FOGA SOPC UART NIOS II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1820
    • 提供者:宋冬锋
  1. displayHELLO

    0下载:
  2. verilog语言编写,在altera公司的de2实验板上实现八个数码管循环显示HELLO-verilog language, in the experimental altera de2 board to achieve the company' s eight digital control loop shown HELLO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1825
    • 提供者:luping
  1. Amateurcodekommentar.c

    0下载:
  2. Hello, i am 12 this is my first program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6580
    • 提供者:Major
  1. hello

    0下载:
  2. VHDL语言,设计一个在DE2平台的8个七段数码管上循环显示HELL0的程序,采用按键控制循环的速度,慢速循环时间间隔为1S,快速循环时间间隔为200ms。-VHDL language, design a platform in the DE2 8 segment digital tube display HELL0 program cycle, the speed control loop using keys, slow cycle time interval for the 1S, fas
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:966
    • 提供者:chunyu
  1. hello1

    0下载:
  2. 循环显示hello的vhdl程序,很实用哦,我们都试过-Hello, vhdl program cycle shows, it is practical Oh, we have tried
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:852
    • 提供者:yuan
  1. loop-HELLO

    0下载:
  2. 8位数码管循环显示HELLO.采用不同的延时时间,让数码管上HELLO,左右显示时的时间不同-8-bit digital control loop shown HELLO. With different delay time on the digital control HELLO, display different times around
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:382760
    • 提供者:刘达
  1. hello

    0下载:
  2. 完成字符串“HELLO”逐个左移位循环与右移位循环的设计与下载。-To complete the string "HELLO" Design and download one by one left shift cycle and right shift cycle.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3132
    • 提供者:沈桑霞
  1. HELLO

    0下载:
  2. 实现HELLO的移动,频率为1秒,仿真通过能使用在de2开发板上-Achieve HELLO mobile frequency of 1 second simulation through de2 development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:1970
    • 提供者:sdddf
  1. hello

    1下载:
  2. FPGA最基础实验程序,编程实现向计算机发送“HELLO”字符串-FPGA most basic experimental procedures, programming sending " HELLO" string to the computer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3247258
    • 提供者:王小二
  1. 《HELLO+FPGA》-+数字电路篇

    0下载:
  2. hello fpga数字电路经典系列。。。。。(Hello FPGA digital circuit classic series...)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 »
搜珍网 www.dssz.com