CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - LCD

搜索资源列表

  1. 4BCD

    0下载:
  2. 4个7段lcd同时显示的程序,已经在digilent的nexy2板上通过验证,非常好用易懂,适合初学者学习-display 4 leds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3452
    • 提供者:zhang fei
  1. lcd_palace

    0下载:
  2. lcd 显示 welcome it s for lcd 。show welcome it s used vhdl language。c++ also,if you cannot understand please inform me。it s important when you use it。-it s for lcd 。show welcome it s used vhdl language。c++ also,if you cannot understand please inform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:390370
    • 提供者:徐伟
  1. S9_LED_RUN

    0下载:
  2. 这是一段用VHDL语言编写的LCD的启动程序-S9_LED_RUN
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:166337
    • 提供者:王景波
  1. lcdok

    1下载:
  2. 自己写的LCD控制器,在EP1C3T140C8上跑过,对初学者有帮助,实在的VHDL代码-Write your own LCD controller, in the EP1C3T140C8 last ran for help for beginners, it' s VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:738819
    • 提供者:陈俊
  1. FPGA-LCDdriver

    0下载:
  2. EDA技术相关文章:基于FPGA的LCD驱动芯片设计-EDA technology-related articles: FPGA-based LCD driver IC design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:490400
    • 提供者:王恒毅
  1. game

    0下载:
  2. 小游戏规则: led(0 to 3)是按一定规律不断发亮,每次只有一个灯亮,每个灯都和我们BASYS板上的四个按钮中的一个对应着,当Led(0 to 3)中的灯亮时,我们要按对相应的按钮时候,在led(4 to 7)中对应的按钮就会亮起,并且八段码显示的数字也相应的加上一,要是按错了led(4 to 7)灯不亮,且显示数字减1。-A small game in which LED(0 to 3) lights in turn. Just one LED turns on each tim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1340616
    • 提供者:大侠
  1. VGA_1024_768

    0下载:
  2. 点亮了我的LCD显示器,1024*768@60Hz,verilog语言,EP2C8,全部源码-Lit my LCD monitor, 1024* 768 @ 60Hz, verilog language, EP2C8, all the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1118449
    • 提供者:yuangaoping
  1. FPGA_VHDL_1602

    0下载:
  2. FPGA控制1602液晶源代码 VHDL源文件-FPGA source code control 1602 LCD VHDL source file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:84240
    • 提供者:gaodong
  1. S6_LCD_VHDL

    0下载:
  2. LCD的vhdl语言编写的程序,实现lcd的控制-lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:418864
    • 提供者:前沿部
  1. clock

    0下载:
  2. FPGA用lcd显示屏实现的24小时的计时器-FPGA with the lcd screen to achieve a 24-hour timer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:598082
    • 提供者:wang wen tao
  1. LCDfcout

    0下载:
  2. FPGA实现LCD显示的频率计,芯片为cyclone-FPGA realization of the frequency meter LCD display chip for the cycloneII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2251525
    • 提供者:wang wen tao
  1. 12

    2下载:
  2. 用FPGA进行等精度频率和相位差测量的程序,本程序是在EPEC6Q240C8下的程序-Carried out with the FPGA such as the frequency and phase measurement precision of the procedure, this procedure was the procedure under the EPEC6Q240C8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:89770
    • 提供者:billy1988
  1. vga_lcd_latest.tar

    0下载:
  2. 此VGA/LCD控制器是revB.3版本的基于WISHBONE总线,适用于驱动CRT和LCD显示屏的嵌入式VGA驱动。-VGA/LCD Controller core is a WISHBONE revB.3 compliant embedded VGA core capable of driving CRT and LCD displays. It supports user programmable resolutions and video timings, which are limit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1795855
    • 提供者:liang
  1. DataCap_XKL_sw_0309_UCGUI_fine

    1下载:
  2. 使用xilinx提供的xilkernel系统,五个任务,使用了信号灯和消息队列, 包含ucGUI,增加了自定义键盘和液晶屏的支持。-Using xilinx provides xilkernel system, including ucGUI, an increase of custom keyboard and LCD screen support.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-02
    • 文件大小:4384702
    • 提供者:xjtualex
  1. NIOSII_de2

    0下载:
  2. 基于SOPC的FPGA系统设计,测试数码管、LED、液晶显示屏,整个系统在DE2上运行通过,使用的是Quartus 6.1套件-FPGA-based SOPC system design, testing, digital tube, LED, LCD display, the entire system run by the DE2, using Quartus 6.1 Suite
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8801697
    • 提供者:方映
  1. verilog_ps2_lcd

    0下载:
  2. 一个XILINX器件用Verilog实现在LCD上显示从PS口按键输入的字符的代码。-LCD display and ps key input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:563216
    • 提供者:bigchop ma
  1. spartan3e_picoblaze_timer_LCD

    0下载:
  2. 基于spartan3e sdk的时钟与LCD实验项目。-Based on spartan3e sdk and LCD clock experiments
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:40767
    • 提供者:hyperdell
  1. bin_copy

    0下载:
  2. FPGA驱动12864汉字显示源代码,12864是16个引脚的带字库的液晶显示模块-12864 Chinese character display FPGA-driven source code, 12864 is a 16-pin LCD display module with a font
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3276544
    • 提供者:tdgyh
  1. lcd_1602

    0下载:
  2. 该代码是用VHDL语言写的,用来控制LCD1602液晶显示器,功能正确。-The code is written in VHDL language to control the LCD1602 LCD display, function correctly.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5414
    • 提供者:王伟
  1. LCDdisplay

    0下载:
  2. 自己编写的LCD显示,已经在自己的板子上试过,完全可以运行-I have written the LCD display, has been tried in their own board, can run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:117825
    • 提供者:mengzi
« 1 2 ... 21 22 23 24 25 2627 28 29 30 31 ... 50 »
搜珍网 www.dssz.com