CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - UART

搜索资源列表

  1. uart from opencores

    0下载:
  2. 用VHDL实现串口 可以实现与pc机的通信 收发 中断都可以 效果比较好-VHDL implement serial port, it can communicate with pc, it can accept and send message, and it can be interrupted.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9254
    • 提供者:熊明
  1. H16550_2[1].0V

    0下载:
  2. 专门做处理器和周边接口的著名ipcore厂商CAST出品的UART H16550 ,包含完整的使用说明手册、testbench、可综合,如果被网站认可,将继续上传其余的几个更好的core。-specialized processor and peripheral interfaces famous ipcore CAST product manufacturers UART H16 550, including full use manual testbench can be integrate
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:386448
    • 提供者:宋云成
  1. uart2

    0下载:
  2. uart 通用异步接受机 编译环境为quartus-UART Universal Asynchronous Receiver and build environment for Quartus
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:197052
    • 提供者:雷鸣
  1. URAT_VHDL

    0下载:
  2. URAT VHDL程序与仿真 各程序运行环境为MAXPLUS_-UART procedures and VHDL simulation environment for the operation of the procedures for MAXPLUS_
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:93045
    • 提供者:王光辉
  1. ISE_uart

    0下载:
  2. 自己在ISE下用VHDL写的UART,简单,易懂-in ISE using VHDL was the UART, simple, understandable
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:937276
    • 提供者:sk
  1. vhdl-2

    0下载:
  2. UART 的VHDL源代码。可在ISE, Max-Plus II,等开发环境下实现。-UART VHDL source code. The ISE, Max-Plus II, and other development environments under.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59976
    • 提供者:lileiming
  1. Exp6-VGA

    0下载:
  2. 通过UART从PC主机读取图片数据,并完成图片在VGA显示器上的显示-through UART from the host PC to read image data, and complete picture of the VGA display on the show
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:682430
    • 提供者:萧飒
  1. USBXilinx

    0下载:
  2. 实现了串行通信接口的全部功能,符合RS-232-C标准的完整UART模块源代码,中文注解,清晰易懂,经过严格仿真测试,绝对好用。-a serial communication interface of all functions, with RS-232-C standard UART modules complete source code, Chinese notes, lucid, after a rigorous simulation tests, absolutely useful.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:463425
    • 提供者:张海
  1. Receiver_spartn6_v1

    0下载:
  2. Implement design of UART receiver in verilog
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:40960
    • 提供者:Armaghan
  1. uart_design

    0下载:
  2. UART设计的VERILOG代码,具有FIFO功能,能实现CPU与外设之间的数据与指令通信(The VERILOG code designed by UART, which has the function of FIFO, can realize the communication between the data and the instruction between the CPU and the peripherals)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:547840
    • 提供者:沐羽1996
  1. uart

    0下载:
  2. 此上传文件实现的功能就是FPGA里实现从PC接收数据,然后把接收到的数据发回去。 使用的是串口UART协议进行收发数据。(The function of this upload file is to receive data from PC in FPGA and send back the received data.The serial port UART protocol is used to receive and receive data.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1649664
    • 提供者:木子桶
  1. UART_FPGA

    0下载:
  2. FPGA下的UART串口通信协议及控制器设计(UART serial communication protocol and controller design under FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:Dream0
  1. PC2FPGA_UART_Test

    0下载:
  2. 基于 fpga 的 uart 设计 波特率 115200(UART design based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:6004736
    • 提供者:梦里千梦
  1. uart

    1下载:
  2. 实现串口的收发,可以稳定的运行,经过测试,可以完全应用于项目中。(The implementation of the serial port and transceiver, can run stable)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-07
    • 文件大小:7168
    • 提供者:小网忒小
  1. uart_rx

    1下载:
  2. Verilog实现的RS232发送和接收程序,有完成的verilog代码,testbench等。(UART send and receive verilog code, including verilog source code, testbench etc.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-07-27
    • 文件大小:452608
    • 提供者:66778899
  1. teacher_uart

    1下载:
  2. 由verilog编写的uart收发模块,能够在串口助手发送字符,并在数码管上显示,开发板为basys3 内置约束文件(The UART transceiver module written by Verilog can send characters to serial assistant and display them on the digital tube. the development board is built-in constraint file of basys3)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-03-27
    • 文件大小:1925120
    • 提供者:abc1997
  1. VerilogUart_Modelsim

    1下载:
  2. 使用Verilog编写的UART ,用Modelsim仿真工程。(use Verilog Write UART Program, Modelsim simmulate the project)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-01-10
    • 文件大小:47104
    • 提供者:myBuf
  1. uart

    1下载:
  2. 电脑端发送数据与FPGA接收数据程序,uart模块,以及一部分项目里包含的其他的程序(Program for sending data from computer and receiving data by FPGA, UART module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-10-26
    • 文件大小:18400256
    • 提供者:godxun
  1. UART串口工程

    1下载:
  2. UART串口工程,RS232串口,实测可以使用,可以用来学习学习
  3. 所属分类:VHDL编程

  1. 国产FPGA参考设计IPCORE_UART_example_M5&M7

    0下载:
  2. 国产FPGA的UART参考设计IPCORE源代码。 The IP provides two kinds of simplified interface connected to EMIF bus and AHB bus for communication with 8051 core and ARM core.The two kinds of interface are full-duplex serial communication interface. Support programmabl
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-09
    • 文件大小:3162112
    • 提供者:空空居士
« 1 2 ... 19 20 21 22 23 2425 26 27 28 29 ... 50 »
搜珍网 www.dssz.com