CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - UART

搜索资源列表

  1. UART_verilog

    0下载:
  2. 用Verilog写的串口程序,是每一个学习Verilog的人的入门第一步-a uart port code of Verilog,which is the first project for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:10448
    • 提供者:chy
  1. verilog_rs232

    0下载:
  2. 用verilog实现串行口UART控制器,适用于XILINX器件-verilog UART controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:343264
    • 提供者:bigchop ma
  1. jiyuchuankoujishu

    0下载:
  2. 计算机在HDL语言下实现串口技术,UART相关资料-BASIC IN HDL language,chuankou jishu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:308708
    • 提供者:tongchao
  1. UART

    0下载:
  2. verolog语言编写,功能如标题所示。有问题请联系mxkmxm@126.com-verolog language, functions such as the title indicates. There are problems, please contact mxkmxm@126.com
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3078
    • 提供者:莫新康
  1. usefulUART

    0下载:
  2. UART是广泛使用的串行数据通讯电路。本设计包含UART发送器、接收器和波特率发生器。设计应用EDA技术,基于FPGA器件设计与实现UART。 -UART is a widely used serial data communication circuits. This design includes UART transmitter, receiver and baud rate generator. Design and Application of EDA technology, ba
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4571
    • 提供者:
  1. uart2

    0下载:
  2. a small uart implementation with Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:992
    • 提供者:zismad
  1. example

    0下载:
  2. 我FPGA开发板的程序!!!包括数、码管iic、VGA、乘法器、串口。加法器、比较器、状态机等等等了,主要是VHDL的也有部分好似Verilog的。参考下吧-verilog...vga..uart...add...etc..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6266775
    • 提供者:地主
  1. UARTVHDL

    0下载:
  2. UART是广泛使用的串行数据通讯电路。本设计包含UART发送器、接收器和波特率发生器。设计应用EDA技术,基于FPGA/CPLD器件设计与实现UART。-UART is a widely used serial data communication circuit. The design includes UART transmitter, receiver and baud rate generator. Application of EDA design technology based o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:241212
    • 提供者:王志慧
  1. UART_prj_ViHDL

    0下载:
  2. vhdl project at sbu uni in iran uart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:69395
    • 提供者:moxi
  1. Deadline

    0下载:
  2. file on xilinx code using rs232 with interfacing uart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:39324
    • 提供者:mkhs
  1. transfer

    0下载:
  2. 实现UART的发送功能,采用了状态机来描述其功能。-Achieve UART transmit function, using the state machine to describe its function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1148
    • 提供者:liu weiwei
  1. UART_VHDL

    0下载:
  2. UART VHDL component
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1989
    • 提供者:Dmitry
  1. uart

    0下载:
  2. 一个功能很强大的异步串口例子,用vhdl完成,波特率等参数可以调整。-A feature very powerful example of asynchronous serial interface, complete with vhdl, baud rate parameters can be adjusted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4347
    • 提供者:tofly
  1. uart_core(V2_0)

    0下载:
  2. 本例为自己编好的VHDL的基于uart的FPGA的 设计。-In this case for their own good VHDL code uart of FPGA-based design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2247544
    • 提供者:xinlinrong
  1. RS232_NIOS_Verilog

    0下载:
  2. 5个文件,包含了RS232的nios实现和Verilog实现方式。其中,RS232的nios核实现只需要按照文件描述可以轻松实现^_^,个人比较推荐!RS232的Verilog实现需要编程,例程方便使用。RS232正在进一步学习中,有兴趣的可以探讨。-the realizition of rs232 interface by niosii uart ip core of Altera.it seems a most conveniet way.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:684604
    • 提供者:summerooooo
  1. uart

    0下载:
  2. verilog实现的按键控制的串口简单收发通信-verilog implementation simple keypad control, serial communication transceiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1410
    • 提供者:龚俊杰
  1. UART

    0下载:
  2. 本模块的功能是验证实现和PC机进行基本的串口通信的功能。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1903
    • 提供者:姜昕
  1. send

    0下载:
  2. 串口发送子程序verilog 串口发送子程序verilog -uart send verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1277
    • 提供者:liyong
  1. uart

    0下载:
  2. 用VHDL编程,在FPGA上实现串口的控制!希望一切分享一下!-Using VHDL programming, the FPGA, Serial control! Hope that all share!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2665557
    • 提供者:ncf
  1. uart

    0下载:
  2. 串口通信程序,硬件描述语言VHDL,代码简洁,功能完善-Serial communication program, hardware descr iption language VHDL, the code simple and functional. . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:377655
    • 提供者:xin
« 1 2 ... 27 28 29 30 31 3233 34 35 36 37 ... 50 »
搜珍网 www.dssz.com