CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - Verilog HDL

搜索资源列表

  1. verilog SDRAM core

    0下载:
  2. 我用过的verilog hdl写的SDRAM core源程序,经过测试应用-I used to write Verilog HDL source of SDRAM core, the test application
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:28424
    • 提供者:于飞
  1. verilog

    0下载:
  2. verilog设计练习进阶,针对的读者是 verilog hdl的初学者。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:103899
    • 提供者:xuping
  1. verilog

    0下载:
  2. 北大微电子学系于敦山老师的课件,介绍Verilog HDL、Cadence Verilog仿真器、可综合的Verilog HDL、设计举例、自动布局布线工具、Verilog的词汇约定等内容
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1550297
    • 提供者:唐进
  1. verilog

    0下载:
  2. 小例子,关于Verilog HDL语言的一些小练习,可供参考.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:368767
    • 提供者:wang
  1. verilog

    0下载:
  2. 是几个用Verilog HDL语言编写的源代码(里面包括实现滤波器等),对想学习这个语言的朋友很有帮助!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:14231
    • 提供者:吴雨彤
  1. Verilog HDL Examples

    0下载:
  2. verilog的入门级别的例子(转载)-Verilog entry-level examples (reproduced)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:87332
    • 提供者:周贤
  1. add.rar

    0下载:
  2. 流水线乘法器与加法器 开发环境:Modelsim(verilog hdl),Multiplier and adder pipeline development environment: Modelsim (verilog hdl)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1340
    • 提供者:来法旧佛
  1. viterbi.rar

    0下载:
  2. 这是一个用VERILOG HDL语言编写的viterbi译码程序,This is a language VERILOG HDL by the viterbi decoding process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2109
    • 提供者:chenxiaoming
  1. 4NandFlash.rar

    0下载:
  2. 基于verilog hdl 的Nand Flash控制代码,Verilog hdl-based control code of the Nand Flash
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2428
    • 提供者:wxd
  1. sWave.rar

    0下载:
  2. 正弦波,Verilog波形发生器,很好的东西,Sine wave, Verilog waveform generator, a good thing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1391960
    • 提供者:yanppf
  1. AHBtoAPB.rar

    1下载:
  2. amba总线桥:ahb to asb!verilog hdl文档加代码,非常全,soc,amba bus bridge: ahb to asb! verilog hdl code for the document plus a very full, soc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:165703
    • 提供者:zhangyiyun
  1. verilog

    0下载:
  2. 基于FPGA的LCD12864驱动显示程序 verilog hdl编译已通过 -LCD12864 Show verilog hdl compiler
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1641
    • 提供者:顾逸峰
  1. CAN_IP.rar

    2下载:
  2. 这是CAN总线控制器的IP核,源码是由Verilog HDL编写的。其硬件结构与SJA1000类似,满足CAN2.0B协议。,This is a IP core of the CAN bus controller written by the Verilog HDL. whose structure is similar with SJA1000,supporting the protocol of CAN2.0B.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:61275
    • 提供者:普林斯
  1. VerilogHDL44keyboard.rar

    0下载:
  2. verilog hdl 4*4 矩阵键盘,去抖,verilog hdl 4* 4 matrix keyboard, to tremble
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:40367
    • 提供者:黎德才
  1. DDS-top.rar

    0下载:
  2. 能够基于DDS实现输出正弦波形的一部分程序,利用Verilog HDL语言编写。,Able to achieve based on the DDS output sine wave-shaped part of the procedure, the use of Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:299054
    • 提供者:evil
  1. DDC.rar

    4下载:
  2. verilog语言实现的数字下变频设计。 在ALTERA的QUARTUS ii下实现。实用,好用。,Verilog language implementation of the digital down-conversion design. ALTERA at the implementation of QUARTUS ii. Practical, easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-20
    • 文件大小:43795
    • 提供者:咚咚
  1. Verilog.rar

    0下载:
  2. verilog HDL 4×4矩阵键盘驱动程序包括硬件电路图,verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:40371
    • 提供者:柳澈
  1. demodulation

    3下载:
  2. 基于verilog HDL的BPSK解调的FPGA实现,仿真结果验证良好。IDE为vivado 2014( U57FA u4E8Everilog HDL u7684BPSK u89E3 u8C03 u7684FPGA u5B9E u73B0 uFF0C u4EFF u771F u7ED3 u679C u9A8C u8BC1 u826F u597D u3002IDE u4E3Avivado 2014)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-02-25
    • 文件大小:131072
    • 提供者:涛2017777
  1. Verilog HDL

    1下载:
  2. 2015年全国电子设计大赛F题,时间间隔测量模块,占空比测量模块,ISE编写的verilog程序。(2015 national electronic design competition F title, time interval measurement module, verilog program written by ISE.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-10-29
    • 文件大小:2048
    • 提供者:鹤鹤鹤鹤
  1. Verilog数字VLSI设计教程(源码)

    0下载:
  2. Verilog 数字VLSI 设计教程 官方Lab(Verilog Digital VLSI Design Course Official Lab)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-08-01
    • 文件大小:11476992
    • 提供者:brico
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com